Browse Source

Redesign for STM32WL SoC architecture, add features, and bump version.

tags/PRE_2
parent
commit
e1e0f5c1d5
24 changed files with 7674 additions and 1304 deletions
  1. +6
    -6
      hardware/conn-mchip.sch
  2. +824
    -3
      hardware/conn-stmicro.sch
  3. +3
    -3
      hardware/ctrl-mchip.sch
  4. +1601
    -11
      hardware/ctrl-stmicro.sch
  5. +581
    -46
      hardware/dscomm-cache.lib
  6. +3
    -3
      hardware/dscomm.kicad_pcb
  7. +2684
    -959
      hardware/dscomm.net
  8. +327
    -169
      hardware/dscomm.sch
  9. +4
    -4
      hardware/feat-mchip.sch
  10. +3
    -3
      hardware/fp-lib-table
  11. +35
    -11
      hardware/libraries/elabdev.dcm
  12. +230
    -73
      hardware/libraries/elabdev.lib
  13. +33
    -0
      hardware/modules/elabdev.pretty/ALS_TR8_SMT.kicad_mod
  14. +1
    -1
      hardware/modules/elabdev.pretty/Johanson_0868AT43A0020E_Down.kicad_mod
  15. +1
    -1
      hardware/modules/elabdev.pretty/Johanson_0868AT43A0020E_Up.kicad_mod
  16. +1
    -1
      hardware/modules/elabdev.pretty/Linx_ANT-868-SP_38x98mm.kicad_mod
  17. +47
    -0
      hardware/modules/elabdev.pretty/Mikrobus_Host_Conn.kicad_mod
  18. +1
    -1
      hardware/modules/elabdev.pretty/Millmax_Pogopin_1x4_P2.54mm.kicad_mod
  19. +1
    -1
      hardware/modules/elabdev.pretty/Millmax_Pogopin_2x4_P2.54mm.kicad_mod
  20. +1
    -1
      hardware/modules/elabdev.pretty/QFN-12-1EP_2x2mm_P0.5mm_EP0.76x0.76mm.kicad_mod
  21. +1
    -1
      hardware/modules/elabdev.pretty/TFBGA-64_8x8_6.0x6.0mm_P0.65mm.kicad_mod
  22. +504
    -3
      hardware/pwr-stmicro.sch
  23. +17
    -3
      hardware/rfsw-mchip.sch
  24. +765
    -0
      hardware/rfsw-stmicro.sch

+ 6
- 6
hardware/conn-mchip.sch View File

@@ -3,10 +3,10 @@ EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 7 8
Sheet 4 9
Title "Democratic Sendcomm"
Date "2020-09-25"
Rev "0.8.2"
Date "2020-10-20"
Rev "0.8.4"
Comp "Europalab Devices"
Comment1 "Copyright © 2020, Europalab Devices"
Comment2 "Fulfilling requirements of 20200210"
@@ -156,7 +156,7 @@ Text HLabel 4950 6400 2 50 Output ~ 0
UART_TX
Text Notes 6200 1900 0 100 ~ 0
SWD
Text Notes 4800 5350 0 100 ~ 0
Text Notes 4800 5550 0 100 ~ 0
UART
$Comp
L Connector:Raspberry_Pi_2_3 J3
@@ -556,7 +556,7 @@ F 3 "~" H 3100 6150 50 0001 C CNN
1 3100 6150
1 0 0 -1
$EndComp
Text Notes 2950 5350 0 100 ~ 0
Text Notes 2950 5550 0 100 ~ 0
POGO
NoConn ~ 2900 6050
NoConn ~ 2900 6150
@@ -577,6 +577,6 @@ F 3 "" H 1550 6200 50 0001 C CNN
1 1550 6200
1 0 0 -1
$EndComp
Text Notes 1400 5500 0 100 ~ 0
Text Notes 1400 5700 0 100 ~ 0
Sold\nfield
$EndSCHEMATC

+ 824
- 3
hardware/conn-stmicro.sch View File

@@ -3,14 +3,835 @@ EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 6 8
Sheet 7 9
Title "Democratic Sendcomm"
Date "2020-09-25"
Rev "0.8.2"
Date "2020-10-20"
Rev "0.8.4"
Comp "Europalab Devices"
Comment1 "Copyright © 2020, Europalab Devices"
Comment2 "Fulfilling requirements of 20200210"
Comment3 "Pending quality assurance testing"
Comment4 "Release revision for manufacturing"
$EndDescr
$Comp
L Memory_EEPROM:CAT24C256 U?
U 1 1 60BCE932
P 8550 5000
AR Path="/601C3EDE/60BCE932" Ref="U?" Part="1"
AR Path="/60040B8A/60BCE932" Ref="U13" Part="1"
F 0 "U13" H 8300 5250 50 0000 C CNN
F 1 "CAT24C32" H 8750 5250 50 0000 C CNN
F 2 "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" H 8550 5000 50 0001 C CNN
F 3 "https://www.onsemi.com/pub/Collateral/CAT24C32-D.PDF" H 8550 5000 50 0001 C CNN
1 8550 5000
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR?
U 1 1 60BCE938
P 8550 5550
AR Path="/5F53D5B5/60BCE938" Ref="#PWR?" Part="1"
AR Path="/601C3EDE/60BCE938" Ref="#PWR?" Part="1"
AR Path="/60040B8A/60BCE938" Ref="#PWR0113" Part="1"
F 0 "#PWR0113" H 8550 5300 50 0001 C CNN
F 1 "GND" H 8555 5377 50 0000 C CNN
F 2 "" H 8550 5550 50 0001 C CNN
F 3 "" H 8550 5550 50 0001 C CNN
1 8550 5550
1 0 0 -1
$EndComp
Wire Wire Line
8550 5300 8550 5400
Wire Wire Line
8150 5100 8150 5400
Wire Wire Line
8150 5400 8550 5400
Connection ~ 8550 5400
Wire Wire Line
8550 5400 8550 5500
Wire Wire Line
8150 5000 8050 5000
Wire Wire Line
8050 5000 8050 5400
Wire Wire Line
8050 5400 8150 5400
Connection ~ 8150 5400
Wire Wire Line
8150 4900 7950 4900
Wire Wire Line
7950 4900 7950 5400
Wire Wire Line
7950 5400 8050 5400
Connection ~ 8050 5400
$Comp
L power:+3V3 #PWR?
U 1 1 60BCE94B
P 8550 4500
AR Path="/601C3EDE/60BCE94B" Ref="#PWR?" Part="1"
AR Path="/60040B8A/60BCE94B" Ref="#PWR0114" Part="1"
F 0 "#PWR0114" H 8550 4350 50 0001 C CNN
F 1 "+3V3" H 8565 4673 50 0000 C CNN
F 2 "" H 8550 4500 50 0001 C CNN
F 3 "" H 8550 4500 50 0001 C CNN
1 8550 4500
1 0 0 -1
$EndComp
Wire Wire Line
8550 4700 8550 4600
Connection ~ 7950 4900
Wire Wire Line
7950 4600 8550 4600
Connection ~ 8550 4600
Wire Wire Line
8550 4600 8550 4500
$Comp
L Device:C C?
U 1 1 60BCE956
P 7950 4750
AR Path="/601C3EDE/60BCE956" Ref="C?" Part="1"
AR Path="/60040B8A/60BCE956" Ref="C61" Part="1"
F 0 "C61" H 7600 4800 50 0000 L CNN
F 1 "0,1uF" H 7600 4700 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 7988 4600 50 0001 C CNN
F 3 "~" H 7950 4750 50 0001 C CNN
1 7950 4750
1 0 0 -1
$EndComp
$Comp
L Device:R R?
U 1 1 60BCE95C
P 9050 4750
AR Path="/601C3EDE/60BCE95C" Ref="R?" Part="1"
AR Path="/60040B8A/60BCE95C" Ref="R16" Part="1"
F 0 "R16" H 9120 4796 50 0000 L CNN
F 1 "3K9" H 9120 4705 50 0000 L CNN
F 2 "Resistor_SMD:R_0805_2012Metric" V 8980 4750 50 0001 C CNN
F 3 "~" H 9050 4750 50 0001 C CNN
1 9050 4750
1 0 0 -1
$EndComp
$Comp
L Device:R R?
U 1 1 60BCE962
P 9550 4750
AR Path="/601C3EDE/60BCE962" Ref="R?" Part="1"
AR Path="/60040B8A/60BCE962" Ref="R17" Part="1"
F 0 "R17" H 9620 4796 50 0000 L CNN
F 1 "3K9" H 9620 4705 50 0000 L CNN
F 2 "Resistor_SMD:R_0805_2012Metric" V 9480 4750 50 0001 C CNN
F 3 "~" H 9550 4750 50 0001 C CNN
1 9550 4750
1 0 0 -1
$EndComp
$Comp
L Device:R R?
U 1 1 60BCE968
P 10050 4750
AR Path="/601C3EDE/60BCE968" Ref="R?" Part="1"
AR Path="/60040B8A/60BCE968" Ref="R18" Part="1"
F 0 "R18" H 10120 4796 50 0000 L CNN
F 1 "1K" H 10120 4705 50 0000 L CNN
F 2 "Resistor_SMD:R_0805_2012Metric" V 9980 4750 50 0001 C CNN
F 3 "~" H 10050 4750 50 0001 C CNN
1 10050 4750
1 0 0 -1
$EndComp
Wire Wire Line
8550 4600 9050 4600
Connection ~ 9050 4600
Wire Wire Line
9050 4600 9550 4600
Connection ~ 9550 4600
Wire Wire Line
9550 4600 10050 4600
Wire Wire Line
8950 4900 9050 4900
Wire Wire Line
8950 5000 9550 5000
Wire Wire Line
9550 5000 9550 4900
Wire Wire Line
8950 5100 9050 5100
Wire Wire Line
10050 5100 10050 4900
$Comp
L Connector:Conn_01x02_Male J?
U 1 1 60BCE978
P 9250 5300
AR Path="/601C3EDE/60BCE978" Ref="J?" Part="1"
AR Path="/60040B8A/60BCE978" Ref="J15" Part="1"
F 0 "J15" H 9222 5274 50 0000 R CNN
F 1 "Conn_01x02_Male" H 9222 5183 50 0000 R CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical" H 9250 5300 50 0001 C CNN
F 3 "~" H 9250 5300 50 0001 C CNN
1 9250 5300
-1 0 0 -1
$EndComp
Wire Wire Line
9050 5300 9050 5100
Connection ~ 9050 5100
Wire Wire Line
9050 5100 10050 5100
Wire Wire Line
9050 5400 8550 5400
Wire Wire Line
9150 4900 9050 4900
Connection ~ 9050 4900
Wire Wire Line
9550 5000 9650 5000
Connection ~ 9550 5000
Text Notes 8250 4150 0 100 ~ 0
HAT-ID
Text HLabel 9150 4900 2 50 BiDi ~ 0
IDSD
Text HLabel 9650 5000 2 50 Input ~ 0
IDSC
$Comp
L Memory_EEPROM:CAT24C256 U?
U 1 1 60BCE989
P 7050 5000
AR Path="/601C3EDE/60BCE989" Ref="U?" Part="1"
AR Path="/60040B8A/60BCE989" Ref="U12" Part="1"
F 0 "U12" H 7300 5250 50 0000 C CNN
F 1 "CAT24C32" H 6850 5250 50 0000 C CNN
F 2 "Package_DFN_QFN:DFN-8-1EP_3x2mm_P0.5mm_EP1.3x1.5mm" H 7050 5000 50 0001 C CNN
F 3 "https://www.onsemi.com/pub/Collateral/CAT24C32-D.PDF" H 7050 5000 50 0001 C CNN
1 7050 5000
-1 0 0 -1
$EndComp
Wire Wire Line
7450 4900 7950 4900
Wire Wire Line
7450 5000 8050 5000
Connection ~ 8050 5000
Wire Wire Line
7450 5100 8150 5100
Connection ~ 8150 5100
Wire Wire Line
7050 4700 7050 4600
Wire Wire Line
7050 4600 7950 4600
Connection ~ 7950 4600
Wire Wire Line
7050 5300 7050 5500
Wire Wire Line
7050 5500 8550 5500
Connection ~ 8550 5500
Wire Wire Line
8550 5500 8550 5550
Wire Wire Line
6650 5100 6550 5100
Wire Wire Line
6550 5100 6550 5800
Wire Wire Line
6550 5800 10050 5800
Wire Wire Line
10050 5800 10050 5100
Connection ~ 10050 5100
Text HLabel 6650 4900 0 50 BiDi ~ 0
IDSD
Text HLabel 6650 5000 0 50 Input ~ 0
IDSC
Text Notes 6750 5300 0 50 ~ 0
DNP
$Comp
L Connector:Raspberry_Pi_2_3 J?
U 1 1 60BE538F
P 4050 5650
AR Path="/60040981/60BE538F" Ref="J?" Part="1"
AR Path="/60040B8A/60BE538F" Ref="J11" Part="1"
F 0 "J11" H 3400 6900 50 0000 C CNN
F 1 "RPIHAT-40W" H 4550 6900 50 0000 C CNN
F 2 "Connector_PinSocket_2.54mm:PinSocket_2x20_P2.54mm_Vertical" H 4050 5650 50 0001 C CNN
F 3 "https://www.raspberrypi.org/documentation/hardware/raspberrypi/schematics/rpi_SCH_3bplus_1p0_reduced.pdf" H 4050 5650 50 0001 C CNN
1 4050 5650
1 0 0 -1
$EndComp
Text HLabel 5150 4750 2 50 BiDi ~ 0
IDSD
Text HLabel 5150 4850 2 50 Output ~ 0
IDSC
NoConn ~ 4850 5050
NoConn ~ 4850 5150
NoConn ~ 4850 5350
NoConn ~ 4850 5450
NoConn ~ 4850 5550
NoConn ~ 4850 5750
NoConn ~ 4850 5850
NoConn ~ 4850 5950
NoConn ~ 4850 6050
NoConn ~ 4850 6150
NoConn ~ 4850 6350
NoConn ~ 4850 6450
NoConn ~ 3850 4350
NoConn ~ 3950 4350
NoConn ~ 3250 5050
NoConn ~ 3250 5150
NoConn ~ 3250 5250
NoConn ~ 3250 5450
NoConn ~ 3250 5550
NoConn ~ 3250 5650
NoConn ~ 3250 5850
NoConn ~ 3250 5950
NoConn ~ 3250 6050
NoConn ~ 3250 6150
NoConn ~ 3250 6250
NoConn ~ 3250 6350
$Comp
L power:GND #PWR?
U 1 1 60BE53B1
P 3650 7150
AR Path="/60040981/60BE53B1" Ref="#PWR?" Part="1"
AR Path="/60040B8A/60BE53B1" Ref="#PWR0115" Part="1"
F 0 "#PWR0115" H 3650 6900 50 0001 C CNN
F 1 "GND" H 3655 6977 50 0000 C CNN
F 2 "" H 3650 7150 50 0001 C CNN
F 3 "" H 3650 7150 50 0001 C CNN
1 3650 7150
1 0 0 -1
$EndComp
Wire Wire Line
3650 6950 3650 7050
Wire Wire Line
3750 6950 3750 7050
Wire Wire Line
3750 7050 3650 7050
Connection ~ 3650 7050
Wire Wire Line
3650 7050 3650 7150
Wire Wire Line
3850 6950 3850 7050
Wire Wire Line
3850 7050 3750 7050
Connection ~ 3750 7050
Wire Wire Line
3950 6950 3950 7050
Wire Wire Line
3950 7050 3850 7050
Connection ~ 3850 7050
Wire Wire Line
4050 6950 4050 7050
Wire Wire Line
4050 7050 3950 7050
Connection ~ 3950 7050
Wire Wire Line
4150 6950 4150 7050
Wire Wire Line
4150 7050 4050 7050
Connection ~ 4050 7050
Wire Wire Line
4250 6950 4250 7050
Wire Wire Line
4250 7050 4150 7050
Connection ~ 4150 7050
Wire Wire Line
4350 6950 4350 7050
Wire Wire Line
4350 7050 4250 7050
Connection ~ 4250 7050
$Comp
L power:+3V3 #PWR?
U 1 1 60BE53CE
P 4150 4250
AR Path="/5F53D5B5/60BE53CE" Ref="#PWR?" Part="1"
AR Path="/60040981/60BE53CE" Ref="#PWR?" Part="1"
AR Path="/60040B8A/60BE53CE" Ref="#PWR0116" Part="1"
F 0 "#PWR0116" H 4150 4100 50 0001 C CNN
F 1 "+3V3" H 4165 4423 50 0000 C CNN
F 2 "" H 4150 4250 50 0001 C CNN
F 3 "" H 4150 4250 50 0001 C CNN
1 4150 4250
1 0 0 -1
$EndComp
Wire Wire Line
4150 4350 4150 4250
Wire Wire Line
4250 4350 4250 4250
Wire Wire Line
4250 4250 4150 4250
Text HLabel 2750 4800 0 50 Output ~ 0
RSRX
Text HLabel 2400 4700 0 50 Input ~ 0
RSTX
$Comp
L Device:Jumper_NO_Small JP?
U 1 1 60BE53DB
P 2950 4750
AR Path="/60040981/60BE53DB" Ref="JP?" Part="1"
AR Path="/60040B8A/60BE53DB" Ref="JP11" Part="1"
F 0 "JP11" H 2950 4935 50 0000 C CNN
F 1 "Jumper" H 2950 4844 50 0000 C CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical" H 2950 4750 50 0001 C CNN
F 3 "~" H 2950 4750 50 0001 C CNN
1 2950 4750
1 0 0 -1
$EndComp
Wire Wire Line
3050 4850 3250 4850
Wire Wire Line
2850 4750 2450 4750
Wire Wire Line
2850 4850 2800 4850
Text Notes 3700 3950 0 100 ~ 0
HAT-HDR
$Comp
L Device:Jumper_NO_Small JP?
U 1 1 60BE53E1
P 2950 4850
AR Path="/60040981/60BE53E1" Ref="JP?" Part="1"
AR Path="/60040B8A/60BE53E1" Ref="JP12" Part="1"
F 0 "JP12" H 2950 4776 50 0000 C CNN
F 1 "Jumper" H 2950 4700 50 0000 C CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical" H 2950 4850 50 0001 C CNN
F 3 "~" H 2950 4850 50 0001 C CNN
1 2950 4850
1 0 0 -1
$EndComp
$Comp
L Jumper:SolderJumper_2_Bridged JP13
U 1 1 60C4BB6A
P 5000 4750
F 0 "JP13" H 5000 4955 50 0000 C CNN
F 1 "Solderjump" H 5000 4864 50 0000 C CNN
F 2 "Jumper:SolderJumper-2_P1.3mm_Bridged_RoundedPad1.0x1.5mm" H 5000 4750 50 0001 C CNN
F 3 "~" H 5000 4750 50 0001 C CNN
1 5000 4750
1 0 0 -1
$EndComp
$Comp
L Jumper:SolderJumper_2_Bridged JP14
U 1 1 60C4C66F
P 5000 4850
F 0 "JP14" H 4950 4750 50 0000 L CNN
F 1 "Solderjump" H 4950 4675 50 0000 L CNN
F 2 "Jumper:SolderJumper-2_P1.3mm_Bridged_RoundedPad1.0x1.5mm" H 5000 4850 50 0001 C CNN
F 3 "~" H 5000 4850 50 0001 C CNN
1 5000 4850
1 0 0 -1
$EndComp
$Comp
L Connector_Generic:Conn_01x06 J13
U 1 1 5F94ABFC
P 6300 2650
F 0 "J13" H 6380 2642 50 0000 L CNN
F 1 "CN_PMOD_GPIO" H 6380 2551 50 0000 L CNN
F 2 "Connector_PinSocket_2.54mm:PinSocket_1x06_P2.54mm_Horizontal" H 6300 2650 50 0001 C CNN
F 3 "~" H 6300 2650 50 0001 C CNN
1 6300 2650
1 0 0 -1
$EndComp
$Comp
L Connector_Generic:Conn_02x08_Counter_Clockwise J14
U 1 1 5F94CB08
P 8050 2650
F 0 "J14" H 8100 3167 50 0000 C CNN
F 1 "CN_Mikroclick" H 8100 3076 50 0000 C CNN
F 2 "Elabdev:Mikrobus_Host_Conn" H 8050 2650 50 0001 C CNN
F 3 "~" H 8050 2650 50 0001 C CNN
1 8050 2650
1 0 0 -1
$EndComp
Text Notes 6000 2000 0 100 ~ 0
Digilent\nPMOD-6
Text Notes 7800 2000 0 100 ~ 0
Mikroe\nClick-16
Wire Notes Line
1600 4450 1600 4200
Wire Wire Line
2450 4750 2400 4700
Wire Wire Line
2800 4850 2750 4800
Wire Notes Line
1600 4200 2100 4200
Wire Notes Line
2100 4450 1600 4450
Wire Notes Line
2100 4200 2100 4450
Text Notes 2050 4400 2 50 ~ 0
USART TTL\nconnector
Wire Wire Line
2050 5000 2150 5000
$Comp
L Connector:TestPoint TP?
U 1 1 5F9F77CE
P 2150 5000
AR Path="/5F53D687/5F9F77CE" Ref="TP?" Part="1"
AR Path="/60040B8A/5F9F77CE" Ref="TP8" Part="1"
F 0 "TP8" H 2100 5100 50 0000 R CNN
F 1 "TestPoint" H 2100 5000 50 0000 R CNN
F 2 "TestPoint:TestPoint_THTPad_D2.5mm_Drill1.2mm" H 2350 5000 50 0001 C CNN
F 3 "~" H 2350 5000 50 0001 C CNN
1 2150 5000
-1 0 0 1
$EndComp
NoConn ~ 2050 5100
$Comp
L power:GND #PWR?
U 1 1 5F9F77C7
P 2050 5200
AR Path="/5F53D687/5F9F77C7" Ref="#PWR?" Part="1"
AR Path="/60040B8A/5F9F77C7" Ref="#PWR0117" Part="1"
F 0 "#PWR0117" H 2050 4950 50 0001 C CNN
F 1 "GND" H 2055 5027 50 0000 C CNN
F 2 "" H 2050 5200 50 0001 C CNN
F 3 "" H 2050 5200 50 0001 C CNN
1 2050 5200
-1 0 0 -1
$EndComp
NoConn ~ 2050 4700
$Comp
L Connector_Generic:Conn_01x06 J?
U 1 1 5F9F77C0
P 1850 5000
AR Path="/5F53D687/5F9F77C0" Ref="J?" Part="1"
AR Path="/60040B8A/5F9F77C0" Ref="J9" Part="1"
F 0 "J9" H 1950 4700 50 0000 L CNN
F 1 "CN_UART" H 1950 4800 50 0000 L CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_1x06_P2.54mm_Horizontal" H 1850 5000 50 0001 C CNN
F 3 "~" H 1850 5000 50 0001 C CNN
1 1850 5000
-1 0 0 1
$EndComp
Wire Wire Line
2800 4850 2750 4900
Connection ~ 2800 4850
Wire Wire Line
2450 4750 2400 4800
Connection ~ 2450 4750
Wire Wire Line
2400 4800 2050 4800
Wire Wire Line
2750 4900 2050 4900
Text HLabel 4250 2650 0 50 Input ~ 0
SNSC
Text HLabel 4250 2750 0 50 BiDi ~ 0
SNSD
$Comp
L power:GND #PWR0118
U 1 1 5FBA79E4
P 5700 2850
F 0 "#PWR0118" H 5700 2600 50 0001 C CNN
F 1 "GND" H 5705 2677 50 0000 C CNN
F 2 "" H 5700 2850 50 0001 C CNN
F 3 "" H 5700 2850 50 0001 C CNN
1 5700 2850
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR0119
U 1 1 5FBA7F6C
P 5250 2250
F 0 "#PWR0119" H 5250 2100 50 0001 C CNN
F 1 "+3V3" H 5265 2423 50 0000 C CNN
F 2 "" H 5250 2250 50 0001 C CNN
F 3 "" H 5250 2250 50 0001 C CNN
1 5250 2250
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0120
U 1 1 5FBA91AA
P 4050 2850
F 0 "#PWR0120" H 4050 2600 50 0001 C CNN
F 1 "GND" H 4055 2677 50 0000 C CNN
F 2 "" H 4050 2850 50 0001 C CNN
F 3 "" H 4050 2850 50 0001 C CNN
1 4050 2850
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0121
U 1 1 5FBA9691
P 4950 2850
F 0 "#PWR0121" H 4950 2600 50 0001 C CNN
F 1 "GND" H 4955 2677 50 0000 C CNN
F 2 "" H 4950 2850 50 0001 C CNN
F 3 "" H 4950 2850 50 0001 C CNN
1 4950 2850
1 0 0 -1
$EndComp
Wire Wire Line
4250 2850 4050 2850
Wire Wire Line
4750 2850 4950 2850
Wire Wire Line
6100 2850 5700 2850
Text Notes 4200 2100 0 100 ~ 0
Digilent\nPMOD-8
Wire Wire Line
5250 3050 5250 2250
Wire Wire Line
6100 2950 5900 3150
Wire Wire Line
5900 3150 5350 3150
Wire Wire Line
5350 3150 5250 3050
Wire Wire Line
4250 2950 4250 3150
Wire Wire Line
4250 3150 4750 3150
Wire Wire Line
4750 2950 4750 3150
Text HLabel 4750 2750 2 50 BiDi ~ 0
SNSD
Text HLabel 4750 2650 2 50 Input ~ 0
SNSC
NoConn ~ 5900 2450
$Comp
L Device:Jumper_NO_Small JP15
U 1 1 5FBC816A
P 6000 2450
F 0 "JP15" H 5400 2450 50 0000 L CNN
F 1 "Jumper" H 5550 2450 50 0000 L CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical" H 6000 2450 50 0001 C CNN
F 3 "~" H 6000 2450 50 0001 C CNN
1 6000 2450
1 0 0 -1
$EndComp
NoConn ~ 5900 2550
$Comp
L Device:Jumper_NO_Small JP16
U 1 1 5FBD3983
P 6000 2550
F 0 "JP16" H 5400 2550 50 0000 L CNN
F 1 "Jumper" H 5550 2550 50 0000 L CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical" H 6000 2550 50 0001 C CNN
F 3 "~" H 6000 2550 50 0001 C CNN
1 6000 2550
1 0 0 -1
$EndComp
NoConn ~ 5900 2650
$Comp
L Device:Jumper_NO_Small JP17
U 1 1 5FBD59C0
P 6000 2650
F 0 "JP17" H 5400 2650 50 0000 L CNN
F 1 "Jumper" H 5550 2650 50 0000 L CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical" H 6000 2650 50 0001 C CNN
F 3 "~" H 6000 2650 50 0001 C CNN
1 6000 2650
1 0 0 -1
$EndComp
NoConn ~ 5900 2750
$Comp
L Device:Jumper_NO_Small JP18
U 1 1 5FBD59CB
P 6000 2750
F 0 "JP18" H 5400 2750 50 0000 L CNN
F 1 "Jumper" H 5550 2750 50 0000 L CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical" H 6000 2750 50 0001 C CNN
F 3 "~" H 6000 2750 50 0001 C CNN
1 6000 2750
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0122
U 1 1 5FBD87A2
P 8100 3300
F 0 "#PWR0122" H 8100 3050 50 0001 C CNN
F 1 "GND" H 8105 3127 50 0000 C CNN
F 2 "" H 8100 3300 50 0001 C CNN
F 3 "" H 8100 3300 50 0001 C CNN
1 8100 3300
1 0 0 -1
$EndComp
Wire Wire Line
7850 3050 7850 3200
Wire Wire Line
7850 3200 8100 3200
Wire Wire Line
8350 3200 8350 3050
Wire Wire Line
8100 3200 8100 3300
Connection ~ 8100 3200
Wire Wire Line
8100 3200 8350 3200
$Comp
L power:+3V3 #PWR0123
U 1 1 5FBE0E98
P 7650 2950
F 0 "#PWR0123" H 7650 2800 50 0001 C CNN
F 1 "+3V3" H 7665 3123 50 0000 C CNN
F 2 "" H 7650 2950 50 0001 C CNN
F 3 "" H 7650 2950 50 0001 C CNN
1 7650 2950
1 0 0 -1
$EndComp
Wire Wire Line
7850 2950 7650 2950
NoConn ~ 7850 2350
NoConn ~ 7850 2550
NoConn ~ 7850 2650
NoConn ~ 7850 2750
NoConn ~ 7850 2850
Text Notes 8400 2350 0 50 ~ 0
PWM
Text Notes 8400 2450 0 50 ~ 0
INT
Text Notes 8400 2550 0 50 ~ 0
RX
Text Notes 8400 2650 0 50 ~ 0
TX
NoConn ~ 8350 2350
NoConn ~ 8350 2450
NoConn ~ 8350 2550
NoConn ~ 8350 2650
NoConn ~ 8350 2950
Text HLabel 8350 2750 2 50 Input ~ 0
SNSC
Text HLabel 8350 2850 2 50 BiDi ~ 0
SNSD
$Comp
L Connector_Generic:Conn_02x06_Odd_Even J12
U 1 1 5FBA8BB7
P 4450 2650
F 0 "J12" H 4500 3067 50 0000 C CNN
F 1 "CN_PMOD_I2C" H 4500 2976 50 0000 C CNN
F 2 "Connector_PinSocket_2.54mm:PinSocket_2x06_P2.54mm_Horizontal" H 4450 2650 50 0001 C CNN
F 3 "~" H 4450 2650 50 0001 C CNN
1 4450 2650
1 0 0 -1
$EndComp
Text Notes 3600 1300 0 50 ~ 0
We're unsure how to arrange the four (4) most\nimportant contacts of the PMOD I2C interface\naccording to the more typical six contact row
Wire Notes Line
3550 1025 3550 1350
Wire Notes Line
3550 1350 5450 1350
Wire Notes Line
5450 1350 5450 1025
Wire Notes Line
5450 1025 3550 1025
Wire Wire Line
5250 3050 5150 3150
Wire Wire Line
5150 3150 4750 3150
Connection ~ 5250 3050
Connection ~ 4750 3150
Wire Wire Line
3050 4750 3250 4750
Connection ~ 4150 4250
NoConn ~ 7650 2450
$Comp
L Device:Jumper_NO_Small JP19
U 1 1 5FC45157
P 7750 2450
F 0 "JP19" H 7100 2450 50 0000 L CNN
F 1 "Jmp-RST" H 7250 2450 50 0000 L CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical" H 7750 2450 50 0001 C CNN
F 3 "~" H 7750 2450 50 0001 C CNN
1 7750 2450
1 0 0 -1
$EndComp
Text Notes 7800 2350 2 50 ~ 0
AN
$Comp
L Connector_Generic:Conn_01x04 J16
U 1 1 5FC50DE9
P 10000 2650
F 0 "J16" H 10080 2642 50 0000 L CNN
F 1 "CN_Test" H 10080 2551 50 0000 L CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Vertical" H 10000 2650 50 0001 C CNN
F 3 "~" H 10000 2650 50 0001 C CNN
1 10000 2650
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR0124
U 1 1 5FC52827
P 9800 2550
F 0 "#PWR0124" H 9800 2400 50 0001 C CNN
F 1 "+3V3" H 9815 2723 50 0000 C CNN
F 2 "" H 9800 2550 50 0001 C CNN
F 3 "" H 9800 2550 50 0001 C CNN
1 9800 2550
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0125
U 1 1 5FC5321D
P 9800 2850
F 0 "#PWR0125" H 9800 2600 50 0001 C CNN
F 1 "GND" H 9805 2677 50 0000 C CNN
F 2 "" H 9800 2850 50 0001 C CNN
F 3 "" H 9800 2850 50 0001 C CNN
1 9800 2850
1 0 0 -1
$EndComp
Text Notes 5750 1250 0 50 ~ 0
PMOD and Click interfaces\nsupport only I2C peripherals
Wire Notes Line
5700 1050 5700 1300
Wire Notes Line
5700 1300 6900 1300
Wire Notes Line
6900 1300 6900 1050
Wire Notes Line
6900 1050 5700 1050
NoConn ~ 9600 2650
$Comp
L Device:Jumper_NO_Small JP20
U 1 1 5FC5EEF8
P 9700 2650
F 0 "JP20" H 9100 2650 50 0000 L CNN
F 1 "Test-A" H 9300 2650 50 0000 L CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical" H 9700 2650 50 0001 C CNN
F 3 "~" H 9700 2650 50 0001 C CNN
1 9700 2650
1 0 0 -1
$EndComp
NoConn ~ 9600 2750
$Comp
L Device:Jumper_NO_Small JP21
U 1 1 5FC61B86
P 9700 2750
F 0 "JP21" H 9100 2750 50 0000 L CNN
F 1 "Test-B" H 9300 2750 50 0000 L CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical" H 9700 2750 50 0001 C CNN
F 3 "~" H 9700 2750 50 0001 C CNN
1 9700 2750
1 0 0 -1
$EndComp
Text Notes 9600 2000 0 100 ~ 0
Testpoint\ninterface
$Comp
L Connector_Generic:Conn_02x04_Top_Bottom J?
U 1 1 5FC80C9D
P 2950 2600
AR Path="/60040981/5FC80C9D" Ref="J?" Part="1"
AR Path="/60040B8A/5FC80C9D" Ref="J10" Part="1"
F 0 "J10" H 3000 2917 50 0000 C CNN
F 1 "Conn_02x04_Top_Bottom" H 3000 2826 50 0000 C CNN
F 2 "Elabdev:Millmax_Pogopin_2x4_P2.54mm" H 2950 2600 50 0001 C CNN
F 3 "~" H 2950 2600 50 0001 C CNN
1 2950 2600
1 0 0 -1
$EndComp
Text Notes 2800 1950 0 100 ~ 0
POGO
NoConn ~ 2750 2500
NoConn ~ 2750 2600
NoConn ~ 2750 2700
NoConn ~ 2750 2800
NoConn ~ 3250 2500
NoConn ~ 3250 2600
NoConn ~ 3250 2700
NoConn ~ 3250 2800
$Comp
L Elabdev:Soldfeld SF?
U 1 1 5FC80CAC
P 1400 2650
AR Path="/60040981/5FC80CAC" Ref="SF?" Part="1"
AR Path="/60040B8A/5FC80CAC" Ref="SF2" Part="1"
F 0 "SF2" H 1628 2696 50 0000 L CNN
F 1 "Soldfeld" H 1628 2605 50 0000 L CNN
F 2 "Elabdev:SolderlittleArea_8" H 1400 2450 50 0001 C CNN
F 3 "" H 1400 2650 50 0001 C CNN
1 1400 2650
1 0 0 -1
$EndComp
Text Notes 1250 2100 0 100 ~ 0
Sold\nfield
Text Notes 8400 2975 0 50 ~ 0
+5V
$EndSCHEMATC

+ 3
- 3
hardware/ctrl-mchip.sch View File

@@ -3,10 +3,10 @@ EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 5 8
Sheet 6 9
Title "Democratic Sendcomm"
Date "2020-09-25"
Rev "0.8.2"
Date "2020-10-20"
Rev "0.8.4"
Comp "Europalab Devices"
Comment1 "Copyright © 2020, Europalab Devices"
Comment2 "Fulfilling requirements of 20200210"


+ 1601
- 11
hardware/ctrl-stmicro.sch
File diff suppressed because it is too large
View File


+ 581
- 46
hardware/dscomm-cache.lib View File

@@ -109,6 +109,81 @@ X GNDDetect 9 -100 -600 100 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Conn_Coaxial
#
DEF Connector_Conn_Coaxial J 0 40 Y N 1 F N
F0 "J" 10 120 50 H V C CNN
F1 "Connector_Conn_Coaxial" 115 0 50 V V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
*BNC*
*SMA*
*SMB*
*SMC*
*Cinch*
$ENDFPLIST
DRAW
A -2 0 71 1636 0 0 1 10 N -70 20 70 0
A -1 0 71 0 -1638 0 1 10 N 70 0 -70 -20
C 0 0 20 0 1 8 N
P 2 0 1 0 -100 0 -20 0 N
P 2 0 1 0 0 -100 0 -70 N
X In 1 -200 0 100 R 50 50 1 1 P
X Ext 2 0 -200 100 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_01x04
#
DEF Connector_Generic_Conn_01x04 J 0 40 Y N 1 F N
F0 "J" 0 200 50 H V C CNN
F1 "Connector_Generic_Conn_01x04" 0 -300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_1x??_*
$ENDFPLIST
DRAW
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 150 50 -250 1 1 10 f
X Pin_1 1 -200 100 150 R 50 50 1 1 P
X Pin_2 2 -200 0 150 R 50 50 1 1 P
X Pin_3 3 -200 -100 150 R 50 50 1 1 P
X Pin_4 4 -200 -200 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_01x06
#
DEF Connector_Generic_Conn_01x06 J 0 40 Y N 1 F N
F0 "J" 0 300 50 H V C CNN
F1 "Connector_Generic_Conn_01x06" 0 -400 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_1x??_*
$ENDFPLIST
DRAW
S -50 -295 0 -305 1 1 6 N
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 250 50 -350 1 1 10 f
X Pin_1 1 -200 200 150 R 50 50 1 1 P
X Pin_2 2 -200 100 150 R 50 50 1 1 P
X Pin_3 3 -200 0 150 R 50 50 1 1 P
X Pin_4 4 -200 -100 150 R 50 50 1 1 P
X Pin_5 5 -200 -200 150 R 50 50 1 1 P
X Pin_6 6 -200 -300 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_02x04_Top_Bottom
#
DEF Connector_Generic_Conn_02x04_Top_Bottom J 0 40 Y N 1 F N
@@ -140,6 +215,92 @@ X Pin_8 8 300 -200 150 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_02x06_Odd_Even
#
DEF Connector_Generic_Conn_02x06_Odd_Even J 0 40 Y N 1 F N
F0 "J" 50 300 50 H V C CNN
F1 "Connector_Generic_Conn_02x06_Odd_Even" 50 -400 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -295 0 -305 1 1 6 N
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 250 150 -350 1 1 10 f
S 150 -295 100 -305 1 1 6 N
S 150 -195 100 -205 1 1 6 N
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
S 150 205 100 195 1 1 6 N
X Pin_1 1 -200 200 150 R 50 50 1 1 P
X Pin_10 10 300 -200 150 L 50 50 1 1 P
X Pin_11 11 -200 -300 150 R 50 50 1 1 P
X Pin_12 12 300 -300 150 L 50 50 1 1 P
X Pin_2 2 300 200 150 L 50 50 1 1 P
X Pin_3 3 -200 100 150 R 50 50 1 1 P
X Pin_4 4 300 100 150 L 50 50 1 1 P
X Pin_5 5 -200 0 150 R 50 50 1 1 P
X Pin_6 6 300 0 150 L 50 50 1 1 P
X Pin_7 7 -200 -100 150 R 50 50 1 1 P
X Pin_8 8 300 -100 150 L 50 50 1 1 P
X Pin_9 9 -200 -200 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_02x08_Counter_Clockwise
#
DEF Connector_Generic_Conn_02x08_Counter_Clockwise J 0 40 Y N 1 F N
F0 "J" 50 400 50 H V C CNN
F1 "Connector_Generic_Conn_02x08_Counter_Clockwise" 50 -500 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -395 0 -405 1 1 6 N
S -50 -295 0 -305 1 1 6 N
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 305 0 295 1 1 6 N
S -50 350 150 -450 1 1 10 f
S 150 -395 100 -405 1 1 6 N
S 150 -295 100 -305 1 1 6 N
S 150 -195 100 -205 1 1 6 N
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
S 150 205 100 195 1 1 6 N
S 150 305 100 295 1 1 6 N
X Pin_1 1 -200 300 150 R 50 50 1 1 P
X Pin_10 10 300 -300 150 L 50 50 1 1 P
X Pin_11 11 300 -200 150 L 50 50 1 1 P
X Pin_12 12 300 -100 150 L 50 50 1 1 P
X Pin_13 13 300 0 150 L 50 50 1 1 P
X Pin_14 14 300 100 150 L 50 50 1 1 P
X Pin_15 15 300 200 150 L 50 50 1 1 P
X Pin_16 16 300 300 150 L 50 50 1 1 P
X Pin_2 2 -200 200 150 R 50 50 1 1 P
X Pin_3 3 -200 100 150 R 50 50 1 1 P
X Pin_4 4 -200 0 150 R 50 50 1 1 P
X Pin_5 5 -200 -100 150 R 50 50 1 1 P
X Pin_6 6 -200 -200 150 R 50 50 1 1 P
X Pin_7 7 -200 -300 150 R 50 50 1 1 P
X Pin_8 8 -200 -400 150 R 50 50 1 1 P
X Pin_9 9 300 -400 150 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Raspberry_Pi_2_3
#
DEF Connector_Raspberry_Pi_2_3 J 0 40 Y Y 1 F N
@@ -462,6 +623,33 @@ X 2 2 150 0 50 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_Crystal_GND24
#
DEF Device_Crystal_GND24 Y 0 40 Y N 1 F N
F0 "Y" 125 200 50 H V L CNN
F1 "Device_Crystal_GND24" 125 125 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Crystal*
$ENDFPLIST
DRAW
S -45 100 45 -100 0 1 12 N
P 2 0 1 0 -100 0 -80 0 N
P 2 0 1 20 -80 -50 -80 50 N
P 2 0 1 0 0 -150 0 -140 N
P 2 0 1 0 0 140 0 150 N
P 2 0 1 20 80 -50 80 50 N
P 2 0 1 0 80 0 100 0 N
P 4 0 1 0 -100 -90 -100 -140 100 -140 100 -90 N
P 4 0 1 0 -100 90 -100 140 100 140 100 90 N
X 1 1 -150 0 50 R 50 50 1 1 P
X 2 2 0 200 50 D 50 50 1 1 P
X 3 3 150 0 50 L 50 50 1 1 P
X 4 4 0 -200 50 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_Ferrite_Bead
#
DEF Device_Ferrite_Bead FB 0 0 N Y 1 F N
@@ -483,6 +671,25 @@ X ~ 2 0 -150 100 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_Jumper
#
DEF Device_Jumper JP 0 30 Y N 1 F N
F0 "JP" 0 150 50 H V C CNN
F1 "Device_Jumper" 0 -80 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
SolderJumper*
$ENDFPLIST
DRAW
A 0 -26 125 1426 373 0 1 0 N -98 50 99 50
C -100 0 35 0 1 0 N
C 100 0 35 0 1 0 N
X 1 1 -300 0 165 R 50 50 0 1 P
X 2 2 300 0 165 L 50 50 0 1 P
ENDDRAW
ENDDEF
#
# Device_Jumper_NO_Small
#
DEF Device_Jumper_NO_Small JP 0 30 N N 1 F N
@@ -587,6 +794,66 @@ X ~ 2 0 -150 50 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_R_Small
#
DEF Device_R_Small R 0 10 N N 1 F N
F0 "R" 30 20 50 H V L CNN
F1 "Device_R_Small" 30 -40 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
R_*
$ENDFPLIST
DRAW
S -30 70 30 -70 0 1 8 N
X ~ 1 0 100 30 D 50 50 1 1 P
X ~ 2 0 -100 30 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_Thermistor
#
DEF Device_Thermistor TH 0 0 N Y 1 F N
F0 "TH" 100 50 50 V V C CNN
F1 "Device_Thermistor" -100 0 50 V V C BNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
R_*
$ENDFPLIST
DRAW
S -40 100 40 -100 0 1 8 N
P 5 0 1 10 -75 125 -75 75 75 -75 75 -125 75 -125 N
X ~ 1 0 200 100 D 50 50 1 1 P
X ~ 2 0 -200 100 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Elabdev_ALSPT19
#
DEF Elabdev_ALSPT19 Q 0 0 Y N 1 F N
F0 "Q" 200 50 50 H V L CNN
F1 "Elabdev_ALSPT19" 200 -50 50 H V L CNN
F2 "Elabdev:ALS_TR8_SMT" 480 -140 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
ALS_TR8_*
$ENDFPLIST
DRAW
C 50 0 111 0 1 10 N
P 2 0 1 0 -75 50 -100 50 N
P 2 0 1 0 -50 100 -75 100 N
P 2 0 1 0 25 25 100 100 N
P 3 0 1 0 -150 125 -75 50 -75 75 N
P 3 0 1 0 -125 175 -50 100 -50 125 N
P 3 0 1 0 25 -25 100 -100 100 -100 N
P 3 0 1 20 25 75 25 -75 25 -75 N
P 5 0 1 0 50 -70 70 -50 90 -90 50 -70 50 -70 F
X C 1 100 200 100 D 50 50 1 1 W
X E 2 100 -200 100 U 50 50 1 1 O
ENDDRAW
ENDDEF
#
# Elabdev_ATECC608A
#
DEF Elabdev_ATECC608A U 0 30 Y Y 1 F N
@@ -691,6 +958,122 @@ X VBAT_DIG H8 300 1800 100 D 50 50 0 0 W
ENDDRAW
ENDDEF
#
# Elabdev_BGS12SN6
#
DEF Elabdev_BGS12SN6 U 0 20 Y Y 1 F N
F0 "U" -400 450 50 H V L CNN
F1 "Elabdev_BGS12SN6" 50 450 50 H V L CNN
F2 "Elabdev:TSNP-6-2_0.7x1.1mm_P0.4mm" 0 -450 50 H I C CNN
F3 "" 0 200 50 H I C CNN
$FPLIST
TSNP*0.7x1.1mm*P0.4mm*
$ENDFPLIST
DRAW
A 90 0 20 -899 899 0 0 0 N 90 -20 90 20
C -150 100 10 0 0 0 F
C -90 -100 10 0 0 0 N
C -50 -100 10 0 0 0 F
C -100 0 10 0 1 0 N
C -100 200 10 0 1 0 N
C 0 0 10 0 1 0 N
C 0 200 10 0 1 0 N
C 90 -130 10 0 1 0 N
C 90 -70 10 0 1 0 N
C 90 200 10 0 1 0 F
C 150 -130 10 0 1 0 N
C 150 -70 10 0 1 0 N
C 150 0 10 0 1 0 F
T 900 90 -225 25 0 0 0 50 Normal 0 C C
T 900 150 -225 25 0 0 0 50 Normal 0 C C
S -400 400 400 -400 0 0 10 f
S 70 -180 110 -270 0 1 0 N
S 130 -180 170 -270 0 1 0 N
P 2 0 0 0 -170 -100 -190 -100 N
P 2 0 0 0 -150 100 -220 100 N
P 2 0 0 0 -50 -100 -50 -70 N
P 2 0 0 0 -50 -50 -50 -20 N
P 2 0 0 0 -50 50 -50 20 N
P 2 0 0 0 -50 100 -50 70 N
P 2 0 0 0 -50 150 -50 120 N
P 2 0 0 0 -50 200 -50 170 N
P 2 0 0 0 -20 -100 -80 -100 N
P 2 0 0 0 0 -100 30 -100 N
P 2 0 0 0 10 0 220 0 N
P 2 0 0 0 10 200 220 200 N
P 2 0 0 0 80 -100 50 -100 N
P 2 0 0 0 90 20 90 200 N
P 2 0 0 0 140 -100 110 -100 N
P 4 0 0 0 -170 -60 -170 -140 -100 -100 -170 -60 N
P 4 0 0 0 -110 0 -150 0 -150 200 -110 200 N
P 2 0 1 0 -90 0 5 15 N
P 2 0 1 0 -90 200 -10 230 N
P 2 0 1 0 90 -140 90 -180 N
P 2 0 1 0 90 -80 105 -135 N
P 2 0 1 0 90 -20 90 -60 N
P 2 0 1 0 150 -140 150 -180 N
P 2 0 1 0 150 -80 180 -130 N
P 2 0 1 0 150 0 150 -60 N
P 6 0 1 0 90 -270 90 -310 70 -310 90 -340 110 -310 90 -310 N
P 6 0 1 0 150 -270 150 -310 130 -310 150 -340 170 -310 150 -310 N
X RF2 1 500 0 100 L 50 50 1 1 P
X GND 2 0 -500 100 U 50 50 1 1 W
X RF1 3 500 200 100 L 50 50 1 1 P
X VDD 4 0 500 100 D 50 50 1 1 W
X RFC 5 -500 100 100 R 50 50 1 1 P
X CTRL 6 -500 -100 100 R 50 50 1 1 I
ENDDRAW
ENDDEF
#
# Elabdev_Conn_ST_STDC14
#
DEF Elabdev_Conn_ST_STDC14 J 0 20 Y Y 1 F N
F0 "J" -350 650 50 H V R CNN
F1 "Elabdev_Conn_ST_STDC14" 700 650 50 H V R BNN
F2 "" 0 0 50 H I C CNN
F3 "" -350 -1250 50 V I C CNN
$FPLIST
PinHeader?2x07?P1.27mm*
$ENDFPLIST
DRAW
S -400 600 400 -600 0 1 10 f
X NC 1 -400 200 100 R 50 50 1 1 N N
X JTDI/NC 10 500 0 100 L 50 50 1 1 O
X GNDDetect 11 -100 -700 100 U 50 50 1 1 P
X ~RST 12 500 500 100 L 50 50 1 1 C
X VCP_RX 13 500 -400 100 L 50 50 1 1 O
X VCP_TX 14 500 -500 100 L 50 50 1 1 I
X NC 2 -400 100 100 R 50 50 1 1 N N
X VCC 3 0 700 100 D 50 50 1 1 W
X JTMS/SWDIO 4 500 200 100 L 50 50 1 1 B
X GND 5 0 -700 100 U 50 50 1 1 W
X JCLK/SWCLK 6 500 300 100 L 50 50 1 1 O
X GND 7 0 -700 100 U 50 50 1 1 P N
X JTDO/SWO 8 500 100 100 L 50 50 1 1 I
X JRCLK/NC 9 500 -200 100 L 50 50 1 1 I
ENDDRAW
ENDDEF
#
# Elabdev_ESD9B3.3ST5G
#
DEF Elabdev_ESD9B3.3ST5G D 0 40 N N 1 F N
F0 "D" 0 100 50 H V C CNN
F1 "Elabdev_ESD9B3.3ST5G" 0 -100 50 H V C CNN
F2 "Diode_SMD:D_SOD-923" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS ESD9B3.3ST5G SZESD9B5.0ST5G
$FPLIST
D*SOD?923*
$ENDFPLIST
DRAW
P 2 0 1 0 50 0 -50 0 N
P 4 0 1 8 -100 -50 0 0 -100 50 -100 -50 N
P 4 0 1 8 20 50 0 50 0 -50 -20 -50 N
P 4 0 1 8 100 50 100 -50 0 0 100 50 N
X A1 1 -150 0 100 R 50 50 1 1 P
X A2 2 150 0 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Elabdev_Logofirm
#
DEF Elabdev_Logofirm LOGO 0 40 Y Y 1 F N
@@ -704,6 +1087,40 @@ S -600 -600 600 600 0 1 20 f
ENDDRAW
ENDDEF
#
# Elabdev_MASW007935
#
DEF Elabdev_MASW007935 U 0 20 Y Y 1 F N
F0 "U" -300 300 50 H V C CNN
F1 "Elabdev_MASW007935" 150 300 50 H V C CNN
F2 "Package_TO_SOT_SMD:SOT-23-6" 0 100 50 H I C CNN
F3 "" 0 100 50 H I C CNN
$FPLIST
SOT?23*
$ENDFPLIST
DRAW
C -120 100 11 0 1 0 N
C 120 0 11 0 1 0 N
C 125 205 11 0 1 0 N
S 300 -300 -300 250 0 1 10 f
P 2 0 1 0 -125 -150 -100 -150 N
P 2 0 1 0 -110 100 115 205 N
P 2 0 1 0 -75 -150 -50 -150 N
P 2 0 1 0 -25 -150 0 -150 N
P 2 0 1 0 0 -125 0 -100 N
P 2 0 1 0 0 -75 0 -50 N
P 2 0 1 0 0 -25 0 0 N
P 2 0 1 0 0 25 0 50 N
P 2 0 1 0 0 75 0 100 N
P 2 0 1 0 0 125 0 150 N
X RF1 1 400 200 100 L 50 50 1 1 P
X GND 2 100 -400 100 U 50 50 1 1 W
X RF2 3 400 0 100 L 50 50 1 1 P
X V2 4 -400 -200 100 R 50 50 1 1 I
X RFC 5 -400 100 100 R 50 50 1 1 P
X V1 6 -400 -100 100 R 50 50 1 1 I
ENDDRAW
ENDDEF
#
# Elabdev_SKY13373
#
DEF Elabdev_SKY13373 U 0 40 Y Y 1 F N
@@ -731,67 +1148,70 @@ X J2 9 600 0 100 L 50 50 0 0 P
ENDDRAW
ENDDEF
#
# Elabdev_STM32WLE5C
# Elabdev_STM32WLE5CCU6
#
DEF Elabdev_STM32WLE5C U 0 40 Y Y 1 F N
DEF Elabdev_STM32WLE5CCU6 U 0 40 Y Y 1 F N
F0 "U" -1100 1250 50 H V L CNN
F1 "Elabdev_STM32WLE5C" 1100 1250 50 H V R CNN
F1 "Elabdev_STM32WLE5CCU6" 1100 1250 50 H V R CNN
F2 "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm" -50 0 39 V I C CIN
F3 "https://www.st.com/en/microcontrollers-microprocessors/stm32wle5jc.html" 50 0 39 V I C CNN
ALIAS STM32WLE5C8U6 STM32WLE5CBU6
$FPLIST
QFN*7x7mm*P0.5mm*EP5.6x5.6mm*
QFN*1EP*7x7mm*P0.5mm*
$ENDFPLIST
DRAW
T 900 700 -900 50 0 0 0 RF-MoDem Normal 1 C C
S -1100 1200 1100 -1200 0 1 10 f
X PB3 1 -1200 800 100 R 50 50 0 0 B
X PA3 10 -1200 -100 100 R 50 50 0 0 B
X VDD 11 -500 1300 100 D 50 50 0 0 W
X PA4 12 -1200 -200 100 R 50 50 0 0 B
X PA5 13 -1200 -300 100 R 50 50 0 0 B
X PA6 14 -1200 -400 100 R 50 50 0 0 B
X PA7 15 -1200 -500 100 R 50 50 0 0 B
X PA8 16 -1200 -600 100 R 50 50 0 0 B
X PA9 17 -1200 -700 100 R 50 50 0 0 B
X NRST 18 -1200 -800 100 R 50 50 0 0 B
X PH3-BOOT0 19 1200 700 100 L 50 50 0 0 B
X PB4 2 -1200 700 100 R 50 50 0 0 B
X RFI_P 20 -1200 -1000 100 R 50 50 0 0 I
X RFI_N 21 -1200 -1100 100 R 50 50 0 0 I
X RFO_LP 22 1200 -1100 100 L 50 50 0 0 O
P 3 0 0 0 600 -1200 600 -600 1100 -600 N
X PB3 1 -1200 1100 100 R 50 50 0 0 B
X PA3 10 -1200 200 100 R 50 50 0 0 B
X VDD 11 100 1300 100 D 50 50 0 0 W
X PA4 12 -1200 100 100 R 50 50 0 0 B
X PA5 13 -1200 0 100 R 50 50 0 0 B
X PA6 14 -1200 -100 100 R 50 50 0 0 B
X PA7 15 -1200 -200 100 R 50 50 0 0 B
X PA8 16 -1200 -300 100 R 50 50 0 0 B
X PA9 17 -1200 -400 100 R 50 50 0 0 B
X NRST 18 1200 1000 100 L 50 50 0 0 I
X PH3-BOOT0 19 1200 900 100 L 50 50 0 0 I
X PB4 2 -1200 1000 100 R 50 50 0 0 B
X RFI_P 20 1200 -700 100 L 50 50 0 0 I
X RFI_N 21 1200 -800 100 L 50 50 0 0 I
X RFO_LP 22 1200 -900 100 L 50 50 0 0 O
X RFO_HP 23 1200 -1000 100 L 50 50 0 0 O
X VR_PA 24 1200 1000 100 L 50 50 0 0 w
X VDD_PA 25 1200 1100 100 L 50 50 0 0 w
X OSC_IN 26 1200 -700 100 L 50 50 0 0 I
X OSC_OUT 27 1200 -800 100 L 50 50 0 0 O
X VDDRF 28 -400 1300 100 D 50 50 0 0 W
X VDDRF1V55 29 -300 1300 100 D 50 50 0 0 W
X PB5 3 -1200 600 100 R 50 50 0 0 B
X PB0-VDD_TCXO 30 1200 600 100 L 50 50 0 0 B
X VR_PA 24 1200 -1100 100 L 50 50 0 0 w
X VDDPA 25 -300 1300 100 D 50 50 0 0 w
X OSC_IN 26 -1200 -700 100 R 50 50 0 0 I
X OSC_OUT 27 -1200 -800 100 R 50 50 0 0 O
X VDDRF 28 -100 1300 100 D 50 50 0 0 W
X VDDRF1V55 29 -400 1300 100 D 50 50 0 0 W
X PB5 3 -1200 900 100 R 50 50 0 0 B
X PB0-VDD_TCXO 30 -1200 -600 100 R 50 50 0 0 B
X PB2 31 1200 500 100 L 50 50 0 0 B
X PB12 32 1200 400 100 L 50 50 0 0 B
X PA10 33 1200 300 100 L 50 50 0 0 B
X PA11 34 1200 200 100 L 50 50 0 0 B
X PA12 35 1200 100 100 L 50 50 0 0 B
X PA13 36 1200 0 100 L 50 50 0 0 B
X VBAT 37 300 1300 100 D 50 50 0 0 W
X PC13 38 1200 -100 100 L 50 50 0 0 B
X PC14_OSC32_IN 39 1200 -200 100 L 50 50 0 0 B
X PB6 4 -1200 500 100 R 50 50 0 0 B
X PC15_OSC32_OUT 40 1200 -300 100 L 50 50 0 0 B
X VDDA 41 400 1300 100 D 50 50 0 0 W
X PA14 42 1200 -400 100 L 50 50 0 0 B
X PA15 43 1200 -500 100 L 50 50 0 0 B
X VDD 44 500 1300 100 D 50 50 0 0 W
X VFBSMPS 45 -1200 1100 100 R 50 50 0 0 I
X VDDSMPS 46 -600 1300 100 D 50 50 0 0 W
X VLXSMPS 47 -1200 1000 100 R 50 50 0 0 I
X VSSSMPS 48 -400 -1300 100 U 50 50 0 0 W
X EP 49 400 -1300 100 U 50 50 0 0 W
X PB7 5 -1200 400 100 R 50 50 0 0 B
X PB8 6 -1200 300 100 R 50 50 0 0 B
X PA0 7 -1200 200 100 R 50 50 0 0 B
X PA1 8 -1200 100 100 R 50 50 0 0 B
X PA2 9 -1200 0 100 R 50 50 0 0 B
X VBAT 37 400 1300 100 D 50 50 0 0 W
X PC13 38 1200 -400 100 L 50 50 0 0 B
X PC14_OSC32_IN 39 -1200 -1000 100 R 50 50 0 0 B
X PB6 4 -1200 800 100 R 50 50 0 0 B
X PC15_OSC32_OUT 40 -1200 -1100 100 R 50 50 0 0 B
X VDDA 41 -800 1300 100 D 50 50 0 0 W
X PA14 42 1200 -100 100 L 50 50 0 0 B
X PA15 43 1200 -200 100 L 50 50 0 0 B
X VDD 44 200 1300 100 D 50 50 0 0 W
X VFBSMPS 45 -500 1300 100 D 50 50 0 0 W
X VDDSMPS 46 300 1300 100 D 50 50 0 0 W
X VLXSMPS 47 -600 1300 100 D 50 50 0 0 W
X VSSSMPS 48 -200 -1300 100 U 50 50 0 0 W
X EP 49 200 -1300 100 U 50 50 0 0 W
X PB7 5 -1200 700 100 R 50 50 0 0 B
X PB8 6 -1200 600 100 R 50 50 0 0 B
X PA0 7 -1200 500 100 R 50 50 0 0 B
X PA1 8 -1200 400 100 R 50 50 0 0 B
X PA2 9 -1200 300 100 R 50 50 0 0 B
ENDDRAW
ENDDEF
#
@@ -948,6 +1368,34 @@ X B 2 150 0 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Jumper_SolderJumper_3_Bridged12
#
DEF Jumper_SolderJumper_3_Bridged12 JP 0 0 Y N 1 F N
F0 "JP" -100 -100 50 H V C CNN
F1 "Jumper_SolderJumper_3_Bridged12" 0 110 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
SolderJumper*Bridged12*
$ENDFPLIST
DRAW
A -40 0 40 901 -901 0 1 0 N -40 40 -40 -40
A -40 0 40 901 -901 0 1 0 F -40 40 -40 -40
A 40 0 40 -899 899 0 1 0 N 40 -40 40 40
A 40 0 40 -899 899 0 1 0 F 40 -40 40 40
S -40 20 -20 -20 0 1 0 F
S -20 40 20 -40 0 1 0 F
P 2 0 1 0 -100 0 -80 0 N
P 2 0 1 0 -40 40 -40 -40 N
P 2 0 1 0 0 -50 0 -40 N
P 2 0 1 0 40 40 40 -40 N
P 2 0 1 0 100 0 80 0 N
X A 1 -200 0 100 R 50 50 1 1 P
X C 2 0 -150 100 U 50 50 1 1 I
X B 3 200 0 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Mechanical_Fiducial
#
DEF Mechanical_Fiducial FID 0 20 Y Y 1 F N
@@ -1062,6 +1510,93 @@ X ~ 6 200 0 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Power_Protection_SP0503BAHT
#
DEF Power_Protection_SP0503BAHT D 0 20 Y N 1 F N
F0 "D" 225 100 50 H V L CNN
F1 "Power_Protection_SP0503BAHT" 225 25 50 H V L CNN
F2 "Package_TO_SOT_SMD:SOT-143" 225 -50 50 H I L CNN
F3 "" 125 125 50 H I C CNN
$FPLIST
SOT?143*
$ENDFPLIST
DRAW
C 0 -50 10 0 1 0 F
S -175 100 175 -100 0 1 10 f
P 2 0 1 0 -100 100 -100 50 N
P 2 0 1 0 0 -50 0 -100 N
P 2 0 1 0 0 -50 0 50 N
P 2 0 1 0 0 100 0 50 N
P 2 0 1 0 25 50 30 50 N
P 2 0 1 0 100 100 100 50 N
P 3 0 1 0 25 50 -30 50 -30 40 N
P 4 0 1 0 -130 40 -130 50 -75 50 -70 50 N
P 4 0 1 0 -100 50 -100 -50 100 -50 100 50 N
P 4 0 1 0 -100 50 -75 0 -125 0 -100 50 N
P 4 0 1 0 25 0 -25 0 0 50 25 0 N
P 4 0 1 0 70 40 70 50 125 50 130 50 N
P 4 0 1 0 100 50 75 0 125 0 100 50 N
X A 1 0 -200 100 U 50 50 0 0 P
X K 2 -100 200 100 D 50 50 1 1 P
X K 3 0 200 100 D 50 50 1 1 P
X K 4 100 200 100 D 50 50 1 1 P
ENDDRAW
ENDDEF
#
# RF_Switch_MSWA-2-20
#
DEF RF_Switch_MSWA-2-20 U 0 20 Y Y 1 F N
F0 "U" -500 350 50 H V L CNN
F1 "RF_Switch_MSWA-2-20" 500 350 50 H V R CNN
F2 "Package_SO:SOP-8_3.76x4.96mm_P1.27mm" 0 450 50 H I C CNN
F3 "" -550 1250 50 H I C CNN
$FPLIST
SOP*3.76x4.96mm*P1.27mm*
$ENDFPLIST
DRAW
A 110 0 20 901 -901 0 1 0 N 110 20 110 -20
C -190 200 10 0 1 0 F
C -110 0 10 0 1 0 N
C -110 200 10 0 1 0 N
C -50 0 10 0 1 0 N
C -50 200 10 0 1 0 N
C 60 -110 10 0 1 0 N
C 60 -50 10 0 1 0 N
C 60 0 10 0 1 0 F
C 110 -110 10 0 1 0 N
C 110 -50 10 0 1 0 N
C 110 200 10 0 1 0 F
T 900 60 -185 25 0 0 0 50 Normal 0 C C
T 900 110 -185 25 0 0 0 50 Normal 0 C C
S -500 300 500 -300 0 1 10 f
S 40 -140 80 -230 0 1 0 N
S 90 -140 130 -230 0 1 0 N
P 2 0 1 0 -120 200 -260 200 N
P 2 0 1 0 -100 0 -50 25 N
P 2 0 1 0 -100 200 -45 215 N
P 2 0 1 0 -40 0 140 0 N
P 2 0 1 0 -40 200 140 200 N
P 2 0 1 0 60 -120 60 -140 N
P 2 0 1 0 60 -60 75 -115 N
P 2 0 1 0 60 -40 60 0 N
P 2 0 1 0 110 -120 110 -140 N
P 2 0 1 0 110 -60 135 -110 N
P 2 0 1 0 110 -20 110 -40 N
P 2 0 1 0 110 200 110 20 N
P 3 0 1 0 -190 200 -190 0 -120 0 N
P 6 0 1 0 60 -230 60 -250 40 -250 60 -280 80 -250 60 -250 N
P 6 0 1 0 110 -230 110 -250 90 -250 110 -280 130 -250 110 -250 N
X CONTROL2 1 -600 -200 100 R 50 50 1 1 I
X RF_IN 2 -600 200 100 R 50 50 1 1 P
X CONTROL1 3 -600 -100 100 R 50 50 1 1 I
X GND 4 0 -400 100 U 50 50 1 1 W
X RF_OUT2 5 600 0 100 L 50 50 1 1 P
X GND 6 0 -400 100 U 50 50 1 1 P N
X GND 7 0 -400 100 U 50 50 1 1 P N
X RF_OUT1 8 600 200 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Switch_SW_SPST
#
DEF Switch_SW_SPST SW 0 0 Y N 1 F N


+ 3
- 3
hardware/dscomm.kicad_pcb View File

@@ -12,8 +12,8 @@
(page A3)
(title_block
(title "Democratic Sendcomm")
(date 2020-09-25)
(rev 0.8.2)
(date 2020-10-20)
(rev 0.8.4)
(company "Europalab Devices")
(comment 1 "Copyright © 2020, Europalab Devices")
(comment 2 "Fulfilling requirements of 20200210")
@@ -5486,7 +5486,7 @@
(pad 8 smd roundrect (at -3.75 -1) (size 2 1.5) (layers B.Cu B.Mask) (roundrect_rratio 0.25))
)

(gr_text "Hardware revision 0.8.2" (at 230 128) (layer B.SilkS) (tstamp 5F701572)
(gr_text "Hardware revision 0.8.4" (at 230 128) (layer B.SilkS) (tstamp 5F701572)
(effects (font (size 2.125 2.125) (thickness 0.5)) (justify left mirror))
)
(gr_text Daughterboard (at 221 145) (layer B.SilkS)


+ 2684
- 959
hardware/dscomm.net
File diff suppressed because it is too large
View File


+ 327
- 169
hardware/dscomm.sch View File

@@ -3,10 +3,10 @@ EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 8
Sheet 1 9
Title "Democratic Sendcomm"
Date "2020-09-25"
Rev "0.8.2"
Date "2020-10-20"
Rev "0.8.4"
Comp "Europalab Devices"
Comment1 "Copyright © 2020, Europalab Devices"
Comment2 "Fulfilling requirements of 20200210"
@@ -101,12 +101,6 @@ F 3 "~" H 750 7300 50 0001 C CNN
1 750 7300
1 0 0 -1
$EndComp
$Sheet
S 1200 4150 1200 800
U 5F53D687
F0 "Sheet5F53D686" 50
F1 "ctrl-stmicro.sch" 50
$EndSheet
Wire Wire Line
1550 6700 1350 6700
Wire Wire Line
@@ -137,121 +131,6 @@ F 3 "" H 1350 7400 50 0001 C CNN
1 1350 7400
1 0 0 -1
$EndComp
$Sheet
S 1200 5350 1200 800
U 60040A68
F0 "Sheet60040A67" 50
F1 "pwr-stmicro.sch" 50
$EndSheet
$Sheet
S 3400 4150 1200 800
U 60040B8A
F0 "Sheet60040B89" 50
F1 "conn-stmicro.sch" 50
$EndSheet
Wire Wire Line
2400 1850 3400 1850
Wire Wire Line
2400 1750 3400 1750
Wire Wire Line
2400 1650 3400 1650
Wire Wire Line
2400 1550 3400 1550
Wire Wire Line
2400 1450 3400 1450
Wire Wire Line
2400 1350 3400 1350
Wire Wire Line
2400 1250 3400 1250
$Sheet
S 1200 1150 1200 1800
U 5F53D5B5
F0 "Sheet5F53D5B4" 50
F1 "ctrl-mchip.sch" 50
F2 "BANDSEL" O R 2400 1250 50
F3 "XCEIV" O R 2400 1350 50
F4 "HFIN" U R 2400 1450 50
F5 "HFOUT" U R 2400 1550 50
F6 "HPOUT" O R 2400 1650 50
F7 "POWAMP" O R 2400 1750 50
F8 "RFSWPWR" O R 2400 1850 50
F9 "SWDIO" B R 2400 2150 50
F10 "SWDCLK" I R 2400 2050 50
F11 "CMDRST" U R 2400 2250 50
F12 "UART_RX" I R 2400 2450 50
F13 "UART_TX" O R 2400 2550 50
F14 "USB_N" B R 2400 2650 50
F15 "USB_P" B R 2400 2750 50
F16 "USB_BUS" U R 2400 2850 50
F17 "I2C_SCL" O L 1200 2750 50
F18 "I2C_SDA" B L 1200 2850 50
$EndSheet
Wire Wire Line
1200 2850 1100 2850
Wire Wire Line
1100 2850 1100 3450
Wire Wire Line
1100 3450 1200 3450
Wire Wire Line
1200 2750 1000 2750
Wire Wire Line
1000 2750 1000 3550
Wire Wire Line
1000 3550 1200 3550
Wire Wire Line
2400 2150 3200 2150
Wire Wire Line
3200 2150 3200 2550
Wire Wire Line
3200 2550 3400 2550
Wire Wire Line
2400 2250 3100 2250
Wire Wire Line
3100 2250 3100 2650
Wire Wire Line
3100 2650 3400 2650
Wire Wire Line
2400 2450 2900 2450
Wire Wire Line
2900 2450 2900 2850
Wire Wire Line
2900 2850 3400 2850
Wire Wire Line
2400 2550 2800 2550
Wire Wire Line
2800 2550 2800 2950
Wire Wire Line
2800 2950 3400 2950
Wire Wire Line
2400 2650 2700 2650
Wire Wire Line
2700 2650 2700 3050
Wire Wire Line
2700 3050 3400 3050
Wire Wire Line
2400 2750 2600 2750
Wire Wire Line
2600 2750 2600 3150
Wire Wire Line
2600 3150 3400 3150
Wire Wire Line
2400 2850 2500 2850
Wire Wire Line
2500 2850 2500 3250
Wire Wire Line
2500 3250 3400 3250
Wire Wire Line
4600 3250 4700 3250
Wire Wire Line
4700 3250 4700 3450
Wire Wire Line
4700 3450 2400 3450
Wire Wire Line
4600 3150 4800 3150
Wire Wire Line
4800 3150 4800 3550
Wire Wire Line
4800 3550 2400 3550
$Comp
L Mechanical:MountingHole MH2
U 1 1 5F7400E8
@@ -408,51 +287,6 @@ F 3 "~" H 4750 7300 50 0001 C CNN
1 4550 7300
1 0 0 -1
$EndComp
$Sheet
S 3400 1150 1200 800
U 5F5C0728
F0 "Sheet5F5C0727" 50
F1 "rfsw-mchip.sch" 50
F2 "BANDSEL" I L 3400 1250 50
F3 "XCEIV" I L 3400 1350 50
F4 "HPOUT" I L 3400 1650 50
F5 "HFIN" I L 3400 1450 50
F6 "POWAMP" I L 3400 1750 50
F7 "HFOUT" I L 3400 1550 50
F8 "RFSWPWR" I L 3400 1850 50
$EndSheet
$Sheet
S 3400 2350 1200 1000
U 60040981
F0 "Sheet60040980" 50
F1 "conn-mchip.sch" 50
F2 "SWDIO" B L 3400 2550 50
F3 "SWDCLK" O L 3400 2450 50
F4 "CMDRST" U L 3400 2650 50
F5 "UART_RX" I L 3400 2850 50
F6 "UART_TX" O L 3400 2950 50
F7 "USB_N" B L 3400 3050 50
F8 "USB_P" B L 3400 3150 50
F9 "USB_BUS" U L 3400 3250 50
F10 "ID_SD" B R 4600 3250 50
F11 "ID_SC" O R 4600 3150 50
$EndSheet
$Sheet
S 1200 3350 1200 400
U 601C3EDE
F0 "Sheet601C3EDD" 50
F1 "feat-mchip.sch" 50
F2 "I2C_SCL" I L 1200 3550 50
F3 "I2C_SDA" B L 1200 3450 50
F4 "ID_SD" B R 2400 3450 50
F5 "ID_SC" I R 2400 3550 50
$EndSheet
Wire Wire Line
3300 2450 3400 2450
Wire Wire Line
3300 2050 3300 2450
Wire Wire Line
2400 2050 3300 2050
$Comp
L Graphic:SYM_Radio_Waves_Large #SYM1
U 1 1 5F96458B
@@ -512,4 +346,328 @@ Wire Wire Line
3950 7300 3950 7500
Wire Wire Line
4550 7300 4550 7500
Wire Wire Line
700 6150 1700 6150
Wire Wire Line
700 3750 700 6150
Wire Wire Line
1700 3750 700 3750
Wire Wire Line
800 6050 1700 6050
Wire Wire Line
800 3850 800 6050
Wire Wire Line
1700 3850 800 3850
Wire Wire Line
900 5950 1700 5950
Wire Wire Line
1700 3950 900 3950
Wire Wire Line
1000 5850 1700 5850
Wire Wire Line
1000 4050 1000 5850
Wire Wire Line
1700 4050 1000 4050
Wire Wire Line
1100 5750 1700 5750
Wire Wire Line
1100 4150 1100 5750
Wire Wire Line
1700 4150 1100 4150
Wire Wire Line
1400 5450 1700 5450
Wire Wire Line
1400 4450 1400 5450
Wire Wire Line
1700 4450 1400 4450
Wire Wire Line
1500 5350 1700 5350
Wire Wire Line
1500 4550 1500 5350
Wire Wire Line
1700 4550 1500 4550
Wire Wire Line
1600 5250 1700 5250
Wire Wire Line
1600 4650 1600 5250
Wire Wire Line
1700 4650 1600 4650
$Sheet
S 900 2950 1200 300
U 601C3EDE
F0 "Sheet601C3EDD" 50
F1 "feat-mchip.sch" 50
F2 "I2C_SCL" I L 900 3150 50
F3 "I2C_SDA" B L 900 3050 50
F4 "ID_SD" B R 2100 3050 50
F5 "ID_SC" I R 2100 3150 50
$EndSheet
$Sheet
S 3600 3650 1200 800
U 5F84A571
F0 "Sheet5F84A570" 50
F1 "rfsw-stmicro.sch" 50
F2 "FE_CTRL2" I L 3600 3850 50
F3 "FE_CTRL1" I L 3600 3750 50
F4 "SW_RFO" I L 3600 3950 50
F5 "SW_RFI" O L 3600 4050 50
$EndSheet
Wire Wire Line
2100 1650 3000 1650
Wire Wire Line
3000 1650 3000 2050
Wire Wire Line
3000 2050 3100 2050
$Sheet
S 3100 1950 1200 1000
U 60040981
F0 "Sheet60040980" 50
F1 "conn-mchip.sch" 50
F2 "SWDIO" B L 3100 2150 50
F3 "SWDCLK" O L 3100 2050 50
F4 "CMDRST" U L 3100 2250 50
F5 "UART_RX" I L 3100 2450 50
F6 "UART_TX" O L 3100 2550 50
F7 "USB_N" B L 3100 2650 50
F8 "USB_P" B L 3100 2750 50
F9 "USB_BUS" U L 3100 2850 50
F10 "ID_SD" B R 4300 2850 50
F11 "ID_SC" O R 4300 2750 50
$EndSheet
$Sheet
S 3100 750 1200 800
U 5F5C0728
F0 "Sheet5F5C0727" 50
F1 "rfsw-mchip.sch" 50
F2 "BANDSEL" I L 3100 850 50
F3 "XCEIV" I L 3100 950 50
F4 "HPOUT" I L 3100 1250 50
F5 "HFIN" I L 3100 1050 50
F6 "POWAMP" I L 3100 1350 50
F7 "HFOUT" I L 3100 1150 50
F8 "RFSWPWR" I L 3100 1450 50
$EndSheet
Wire Wire Line
4500 3150 2100 3150
Wire Wire Line
4500 2750 4500 3150
Wire Wire Line
4300 2750 4500 2750
Wire Wire Line
4400 3050 2100 3050
Wire Wire Line
4400 2850 4400 3050
Wire Wire Line
4300 2850 4400 2850
Wire Wire Line
2200 2850 3100 2850
Wire Wire Line
2200 2450 2200 2850
Wire Wire Line
2100 2450 2200 2450
Wire Wire Line
2300 2750 3100 2750
Wire Wire Line
2300 2350 2300 2750
Wire Wire Line
2100 2350 2300 2350
Wire Wire Line
2400 2650 3100 2650
Wire Wire Line
2400 2250 2400 2650
Wire Wire Line
2100 2250 2400 2250
Wire Wire Line
2500 2550 3100 2550
Wire Wire Line
2500 2150 2500 2550
Wire Wire Line
2100 2150 2500 2150
Wire Wire Line
2600 2450 3100 2450
Wire Wire Line
2600 2050 2600 2450
Wire Wire Line
2100 2050 2600 2050
Wire Wire Line
2800 2250 3100 2250
Wire Wire Line
2800 1850 2800 2250
Wire Wire Line
2100 1850 2800 1850
Wire Wire Line
2900 2150 3100 2150
Wire Wire Line
2900 1750 2900 2150
Wire Wire Line
2100 1750 2900 1750
Wire Wire Line
700 3150 900 3150
Wire Wire Line
700 2350 700 3150
Wire Wire Line
900 2350 700 2350
Wire Wire Line
800 3050 900 3050
Wire Wire Line
800 2450 800 3050
Wire Wire Line
900 2450 800 2450
$Sheet
S 900 750 1200 1800
U 5F53D5B5
F0 "Sheet5F53D5B4" 50
F1 "ctrl-mchip.sch" 50
F2 "BANDSEL" O R 2100 850 50
F3 "XCEIV" O R 2100 950 50
F4 "HFIN" U R 2100 1050 50
F5 "HFOUT" U R 2100 1150 50
F6 "HPOUT" O R 2100 1250 50
F7 "POWAMP" O R 2100 1350 50
F8 "RFSWPWR" O R 2100 1450 50
F9 "SWDIO" B R 2100 1750 50
F10 "SWDCLK" I R 2100 1650 50
F11 "CMDRST" U R 2100 1850 50
F12 "UART_RX" I R 2100 2050 50
F13 "UART_TX" O R 2100 2150 50
F14 "USB_N" B R 2100 2250 50
F15 "USB_P" B R 2100 2350 50
F16 "USB_BUS" U R 2100 2450 50
F17 "I2C_SCL" O L 900 2350 50
F18 "I2C_SDA" B L 900 2450 50
$EndSheet
Wire Wire Line
2100 850 3100 850
Wire Wire Line
2100 950 3100 950
Wire Wire Line
2100 1050 3100 1050
Wire Wire Line
2100 1150 3100 1150
Wire Wire Line
2100 1250 3100 1250
Wire Wire Line
2100 1350 3100 1350
Wire Wire Line
2100 1450 3100 1450
$Sheet
S 3400 5150 1200 800
U 60040B8A
F0 "Sheet60040B89" 50
F1 "conn-stmicro.sch" 50
F2 "IDSD" B L 3400 5350 50
F3 "IDSC" I L 3400 5250 50
F4 "RSRX" O L 3400 5450 50
F5 "RSTX" I L 3400 5550 50
F6 "SNSC" I R 4600 5350 50
F7 "SNSD" B R 4600 5250 50
$EndSheet
$Sheet
S 1700 5150 1200 1100
U 60040A68
F0 "Sheet60040A67" 50
F1 "pwr-stmicro.sch" 50
F2 "PWR_VDDA" U L 1700 6150 50
F3 "PWR_VLXSMPS" U L 1700 6050 50
F4 "PWR_VFBSMPS" U L 1700 5950 50
F5 "PWR_VDDRF1V55" U L 1700 5850 50
F6 "PWR_VDDPA" U L 1700 5750 50
F7 "PWR_VDDRF" U L 1700 5650 50
F8 "PWR_VDD1" U L 1700 5550 50
F9 "PWR_VDD2" U L 1700 5450 50
F10 "PWR_VDDSMPS" U L 1700 5350 50
F11 "PWR_VBAT" U L 1700 5250 50
$EndSheet
$Sheet
S 1700 3650 1200 1100
U 5F53D687
F0 "Sheet5F53D686" 50
F1 "ctrl-stmicro.sch" 50
F2 "PWR_VDDA" U L 1700 3750 50
F3 "PWR_VLXSMPS" U L 1700 3850 50
F4 "PWR_VFBSMPS" U L 1700 3950 50
F5 "PWR_VDDRF1V55" U L 1700 4050 50
F6 "PWR_VDDPA" U L 1700 4150 50
F7 "PWR_VDDRF" U L 1700 4250 50
F8 "PWR_VDD1" U L 1700 4350 50
F9 "PWR_VDD2" U L 1700 4450 50
F10 "PWR_VDDSMPS" U L 1700 4550 50
F11 "PWR_VBAT" U L 1700 4650 50
F12 "SW_RFI" I R 2900 4050 50
F13 "SW_RFO" O R 2900 3950 50
F14 "FE_CTRL2" O R 2900 3850 50
F15 "FE_CTRL1" O R 2900 3750 50
F16 "RSTX" O R 2900 4650 50
F17 "RSRX" I R 2900 4550 50
F18 "IDSC" O R 2900 4350 50
F19 "IDSD" B R 2900 4450 50
F20 "SNSC" O R 2900 4150 50
F21 "SNSD" B R 2900 4250 50
$EndSheet
Wire Wire Line
1200 5650 1700 5650
Wire Wire Line
1700 4250 1200 4250
Wire Wire Line
1200 4250 1200 5650
Wire Wire Line
900 3950 900 5950
Wire Wire Line
1300 5550 1700 5550
Wire Wire Line
1700 4350 1300 4350
Wire Wire Line
1300 4350 1300 5550
Wire Wire Line
2900 3750 3600 3750
Wire Wire Line
2900 3850 3600 3850
Wire Wire Line
2900 3950 3600 3950
Wire Wire Line
2900 4050 3600 4050
Wire Wire Line
2900 4650 3000 4650
Wire Wire Line
3000 4650 3000 5550
Wire Wire Line
3000 5550 3400 5550
Wire Wire Line
2900 4550 3100 4550
Wire Wire Line
3100 4550 3100 5450
Wire Wire Line
3100 5450 3400 5450
Wire Wire Line
2900 4450 3200 4450
Wire Wire Line
3200 4450 3200 5350
Wire Wire Line
3200 5350 3400 5350
Wire Wire Line
2900 4350 3300 4350
Wire Wire Line
3300 4350 3300 5250
Wire Wire Line
3300 5250 3400 5250
Wire Wire Line
2900 4250 3400 4250
Wire Wire Line
3400 4250 3400 5050
Wire Wire Line
3400 5050 4700 5050
Wire Wire Line
4700 5050 4700 5250
Wire Wire Line
4700 5250 4600 5250
Wire Wire Line
2900 4150 3500 4150
Wire Wire Line
3500 4150 3500 4950
Wire Wire Line
3500 4950 4800 4950
Wire Wire Line
4800 4950 4800 5350
Wire Wire Line
4800 5350 4600 5350
$EndSCHEMATC

+ 4
- 4
hardware/feat-mchip.sch View File

@@ -3,10 +3,10 @@ EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 8 8
Sheet 2 9
Title "Democratic Sendcomm"
Date "2020-09-25"
Rev "0.8.2"
Date "2020-10-20"
Rev "0.8.4"
Comp "Europalab Devices"
Comment1 "Copyright © 2020, Europalab Devices"
Comment2 "Fulfilling requirements of 20200210"
@@ -271,7 +271,7 @@ Connection ~ 4550 3550
Wire Wire Line
5050 3650 5150 3650
Connection ~ 5050 3650
Text Notes 3750 2300 0 100 ~ 0
Text Notes 3750 2400 0 100 ~ 0
HAT-ID
Text HLabel 4650 3550 2 50 BiDi ~ 0
ID_SD


+ 3
- 3
hardware/fp-lib-table View File

@@ -1,3 +1,3 @@
(fp_lib_table
(lib (name Elabdev)(type KiCad)(uri ${KIPRJMOD}/modules/elabdev.pretty)(options "")(descr "Europalab Devices footprints"))
)
(fp_lib_table
(lib (name Elabdev)(type KiCad)(uri ${KIPRJMOD}/modules/elabdev.pretty)(options "")(descr "Europalab Devices footprints"))
)

+ 35
- 11
hardware/libraries/elabdev.dcm View File

@@ -12,19 +12,31 @@ K Atmel UHF Transceiver Microcontroller
F https://ww1.microchip.com/downloads/en/DeviceDoc/SAMR34-R35-Low-Power-LoRa-Sub-GHz-SiP-Data-Sheet-DS70005356B.pdf
$ENDCMP
#
$CMP BGS12SN6
D Infineon MOS SPDT absorbative switch, DC-6GHz, 40dB isolation at 868MHz, TSNP-6-2
K RF SPDT switch MOS absorbative
F https://www.infineon.com/dgdl/Infineon-BGS12SN6-DS-v02_03-EN.pdf
$ENDCMP
#
$CMP Logofirm
D Firma Logo
K Logo
F ~
$ENDCMP
#
$CMP MASW007935
D Macom GaAs RF SPDT absorbative switch, DC-3GHz, 1.1/22dB loss/isolation, SOT-26 (SOT-23-6)
K RF SPDT switch GaAs absorbative
F https://cdn.macom.com/datasheets/MASW-007935.pdf
$ENDCMP
#
$CMP SKY13373
D SP3T DC-6.0GHz switch, 30dB isolation at 2.5GHz, QFN-12
K RF SP3T switch CMOS
F http://www.skyworksinc.com/uploads/documents/SKY13373_460LF_201264N.pdf
$ENDCMP
#
$CMP ST25DV04K-JF
D 13.56 MHz long-range interface dynamic NFC/RFID tag, Package: UFDFPN-8, EEPROM: 5 kb, Voltage: 1,8..5,5V, I/O pins: 8
K ST25DV-I2C series Dynamic NFC Tag
F https://www.st.com/resource/datasheet/st25dv04k.pdf
$ENDCMP
#
$CMP STM32WLE5C
$CMP STM32WLE5CCU6
D LPWAN Arm Cortex-M4 MCUs, LoRa, (G)FSK, (G)MSK, BPSK, 64/128/256KB Flash, 64KB SRAM, 7x7mm UFQFPN-48
K STM32 UHF Transceiver Microcontroller
F https://www.st.com/resource/datasheet/stm32wle5jc.pdf
@@ -47,15 +59,27 @@ K Crystal Clock Oscillator
F http://www.taitien.com/wp-content/uploads/2015/12/XO-0081_TY.pdf
$ENDCMP
#
$CMP ALSPT19
D Ambient Light Sensor, Phototransistor
K phototransistor ambient light sensor
F https://www.everlight.com/file/ProductFile/201407061531031645.pdf
$ENDCMP
#
$CMP USBCHole
D USB Type C Hole
K usb hole
$ENDCMP
#
$CMP Logofirm
D Firma Logo
K Logo
F ~
$CMP Conn_ST_STDC14
D ST Debug Connector, standard ARM Cortex-M SWD and JTAG interface plus UART
K ST STM32 Cortex Debug Connector ARM SWD JTAG
F https://www.st.com/content/ccc/resource/technical/document/user_manual/group1/99/49/91/b6/b2/3a/46/e5/DM00526767/files/DM00526767.pdf/jcr:content/translations/en.DM00526767.pdf
$ENDCMP
#
$CMP ESD9B3.3ST5G
D ESD protection diode, 3.3Vrwm, SOD-923
K diode TVS ESD
F https://www.onsemi.com/pub/Collateral/ESD9B-D.PDF
$ENDCMP
#
#End Doc Library

+ 230
- 73
hardware/libraries/elabdev.lib View File

@@ -105,6 +105,119 @@ X VBAT_DIG H8 300 1800 100 D 50 50 0 0 W
ENDDRAW
ENDDEF
#
# BGS12SN6
#
DEF BGS12SN6 U 0 20 Y Y 1 F N
F0 "U" -400 450 50 H V L CNN
F1 "BGS12SN6" 50 450 50 H V L CNN
F2 "Elabdev:TSNP-6-2_0.7x1.1mm_P0.4mm" 0 -450 50 H I C CNN
F3 "" 0 200 50 H I C CNN
$FPLIST
TSNP*0.7x1.1mm*P0.4mm*
$ENDFPLIST
DRAW
A 90 0 20 -899 899 0 0 0 N 90 -20 90 20
C -150 100 10 0 0 0 F
C -90 -100 10 0 0 0 N
C -50 -100 10 0 0 0 F
C -100 0 10 0 1 0 N
C -100 200 10 0 1 0 N
C 0 0 10 0 1 0 N
C 0 200 10 0 1 0 N
C 90 -130 10 0 1 0 N
C 90 -70 10 0 1 0 N
C 90 200 10 0 1 0 F
C 150 -130 10 0 1 0 N
C 150 -70 10 0 1 0 N
C 150 0 10 0 1 0 F
T 900 90 -225 25 0 0 0 50 Normal 0 C C
T 900 150 -225 25 0 0 0 50 Normal 0 C C
S -400 400 400 -400 0 0 10 f
S 70 -180 110 -270 0 1 0 N
S 130 -180 170 -270 0 1 0 N
P 2 0 0 0 -170 -100 -190 -100 N
P 2 0 0 0 -150 100 -220 100 N
P 2 0 0 0 -50 -100 -50 -70 N
P 2 0 0 0 -50 -50 -50 -20 N
P 2 0 0 0 -50 50 -50 20 N
P 2 0 0 0 -50 100 -50 70 N
P 2 0 0 0 -50 150 -50 120 N
P 2 0 0 0 -50 200 -50 170 N
P 2 0 0 0 -20 -100 -80 -100 N
P 2 0 0 0 0 -100 30 -100 N
P 2 0 0 0 10 0 220 0 N
P 2 0 0 0 10 200 220 200 N
P 2 0 0 0 80 -100 50 -100 N
P 2 0 0 0 90 20 90 200 N
P 2 0 0 0 140 -100 110 -100 N
P 4 0 0 0 -170 -60 -170 -140 -100 -100 -170 -60 N
P 4 0 0 0 -110 0 -150 0 -150 200 -110 200 N
P 2 0 1 0 -90 0 5 15 N
P 2 0 1 0 -90 200 -10 230 N
P 2 0 1 0 90 -140 90 -180 N
P 2 0 1 0 90 -80 105 -135 N
P 2 0 1 0 90 -20 90 -60 N
P 2 0 1 0 150 -140 150 -180 N
P 2 0 1 0 150 -80 180 -130 N
P 2 0 1 0 150 0 150 -60 N
P 6 0 1 0 90 -270 90 -310 70 -310 90 -340 110 -310 90 -310 N
P 6 0 1 0 150 -270 150 -310 130 -310 150 -340 170 -310 150 -310 N
X RF2 1 500 0 100 L 50 50 1 1 P
X GND 2 0 -500 100 U 50 50 1 1 W
X RF1 3 500 200 100 L 50 50 1 1 P
X VDD 4 0 500 100 D 50 50 1 1 W
X RFC 5 -500 100 100 R 50 50 1 1 P
X CTRL 6 -500 -100 100 R 50 50 1 1 I
ENDDRAW
ENDDEF
#
# Logofirm
#
DEF Logofirm LOGO 0 40 Y Y 1 F N
F0 "LOGO" 500 700 50 H V C CNN
F1 "Logofirm" -450 700 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
T 0 0 0 800 0 0 0 L Normal 0 C C
S -600 -600 600 600 0 1 20 f
ENDDRAW
ENDDEF
#
# MASW007935
#
DEF MASW007935 U 0 20 Y Y 1 F N
F0 "U" -300 300 50 H V C CNN
F1 "MASW007935" 150 300 50 H V C CNN
F2 "Package_TO_SOT_SMD:SOT-23-6" 0 100 50 H I C CNN
F3 "" 0 100 50 H I C CNN
$FPLIST
SOT?23*
$ENDFPLIST
DRAW
C -120 100 11 0 1 0 N
C 120 0 11 0 1 0 N
C 125 205 11 0 1 0 N
S 300 -300 -300 250 0 1 10 f
P 2 0 1 0 -125 -150 -100 -150 N
P 2 0 1 0 -110 100 115 205 N
P 2 0 1 0 -75 -150 -50 -150 N
P 2 0 1 0 -25 -150 0 -150 N
P 2 0 1 0 0 -125 0 -100 N
P 2 0 1 0 0 -75 0 -50 N
P 2 0 1 0 0 -25 0 0 N
P 2 0 1 0 0 25 0 50 N
P 2 0 1 0 0 75 0 100 N
P 2 0 1 0 0 125 0 150 N
X RF1 1 400 200 100 L 50 50 1 1 P
X GND 2 100 -400 100 U 50 50 1 1 W
X RF2 3 400 0 100 L 50 50 1 1 P
X V2 4 -400 -200 100 R 50 50 1 1 I
X RFC 5 -400 100 100 R 50 50 1 1 P
X V1 6 -400 -100 100 R 50 50 1 1 I
ENDDRAW
ENDDEF
#
# SKY13373
#
DEF SKY13373 U 0 40 Y Y 1 F N
@@ -132,88 +245,70 @@ X J2 9 600 0 100 L 50 50 0 0 P
ENDDRAW
ENDDEF
#
# ST25DV04K-JF
# STM32WLE5CCU6
#
DEF ST25DV04K-JF U 0 40 Y Y 1 F N
F0 "U" -500 400 50 H V C CNN
F1 "ST25DV04K-JF" 300 -400 50 H V C CNN
F2 "Elabdev:SOIC-8_3.9x4.9mm_Pitch1.27mm" 0 -500 50 H I C CIN
F3 "https://www.st.com/en/nfc/st25dv04k.html" 0 -600 50 H I C CNN
ALIAS ST25DV16K-JF ST25DV64K-JF ST25DV16K-JFR6S3 ST25DV64K-JFR6S3
DRAW
S -550 350 550 -350 0 1 10 f
X VEH 1 -700 -250 150 R 40 40 1 1 I
X AC0 2 -700 -150 150 R 40 40 1 1 P
X AC1 3 -700 -50 150 R 40 40 1 1 P
X VSS 4 700 -250 150 L 40 40 1 1 W
X SDA 5 -700 50 150 R 40 40 1 1 B
X SCL 6 -700 150 150 R 40 40 1 1 I
X GPO 7 -700 250 150 R 40 40 1 1 O
X VCC 8 700 250 150 L 40 40 1 1 W
ENDDRAW
ENDDEF
#
# STM32WLE5C
#
DEF STM32WLE5C U 0 40 Y Y 1 F N
DEF STM32WLE5CCU6 U 0 40 Y Y 1 F N
F0 "U" -1100 1250 50 H V L CNN
F1 "STM32WLE5C" 1100 1250 50 H V R CNN
F1 "STM32WLE5CCU6" 1100 1250 50 H V R CNN
F2 "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm" -50 0 39 V I C CIN
F3 "https://www.st.com/en/microcontrollers-microprocessors/stm32wle5jc.html" 50 0 39 V I C CNN
ALIAS STM32WLE5C8U6 STM32WLE5CBU6
$FPLIST
QFN*7x7mm*P0.5mm*EP5.6x5.6mm*
QFN*1EP*7x7mm*P0.5mm*
$ENDFPLIST
DRAW
T 900 700 -900 50 0 0 0 RF-MoDem Normal 1 C C
S -1100 1200 1100 -1200 0 1 10 f
X PB3 1 -1200 800 100 R 50 50 0 0 B
X PA3 10 -1200 -100 100 R 50 50 0 0 B
X VDD 11 -500 1300 100 D 50 50 0 0 W
X PA4 12 -1200 -200 100 R 50 50 0 0 B
X PA5 13 -1200 -300 100 R 50 50 0 0 B
X PA6 14 -1200 -400 100 R 50 50 0 0 B
X PA7 15 -1200 -500 100 R 50 50 0 0 B
X PA8 16 -1200 -600 100 R 50 50 0 0 B
X PA9 17 -1200 -700 100 R 50 50 0 0 B
X NRST 18 -1200 -800 100 R 50 50 0 0 B
X PH3-BOOT0 19 1200 700 100 L 50 50 0 0 B
X PB4 2 -1200 700 100 R 50 50 0 0 B
X RFI_P 20 -1200 -1000 100 R 50 50 0 0 I
X RFI_N 21 -1200 -1100 100 R 50 50 0 0 I
X RFO_LP 22 1200 -1100 100 L 50 50 0 0 O
P 3 0 0 0 600 -1200 600 -600 1100 -600 N
X PB3 1 -1200 1100 100 R 50 50 0 0 B
X PA3 10 -1200 200 100 R 50 50 0 0 B
X VDD 11 100 1300 100 D 50 50 0 0 W
X PA4 12 -1200 100 100 R 50 50 0 0 B
X PA5 13 -1200 0 100 R 50 50 0 0 B
X PA6 14 -1200 -100 100 R 50 50 0 0 B
X PA7 15 -1200 -200 100 R 50 50 0 0 B
X PA8 16 -1200 -300 100 R 50 50 0 0 B
X PA9 17 -1200 -400 100 R 50 50 0 0 B
X NRST 18 1200 1000 100 L 50 50 0 0 I
X PH3-BOOT0 19 1200 900 100 L 50 50 0 0 I
X PB4 2 -1200 1000 100 R 50 50 0 0 B
X RFI_P 20 1200 -700 100 L 50 50 0 0 I
X RFI_N 21 1200 -800 100 L 50 50 0 0 I
X RFO_LP 22 1200 -900 100 L 50 50 0 0 O
X RFO_HP 23 1200 -1000 100 L 50 50 0 0 O
X VR_PA 24 1200 1000 100 L 50 50 0 0 w
X VDD_PA 25 1200 1100 100 L 50 50 0 0 w
X OSC_IN 26 1200 -700 100 L 50 50 0 0 I
X OSC_OUT 27 1200 -800 100 L 50 50 0 0 O
X VDDRF 28 -400 1300 100 D 50 50 0 0 W
X VDDRF1V55 29 -300 1300 100 D 50 50 0 0 W
X PB5 3 -1200 600 100 R 50 50 0 0 B
X PB0-VDD_TCXO 30 1200 600 100 L 50 50 0 0 B
X VR_PA 24 1200 -1100 100 L 50 50 0 0 w
X VDDPA 25 -300 1300 100 D 50 50 0 0 w
X OSC_IN 26 -1200 -700 100 R 50 50 0 0 I
X OSC_OUT 27 -1200 -800 100 R 50 50 0 0 O
X VDDRF 28 -100 1300 100 D 50 50 0 0 W
X VDDRF1V55 29 -400 1300 100 D 50 50 0 0 W
X PB5 3 -1200 900 100 R 50 50 0 0 B
X PB0-VDD_TCXO 30 -1200 -600 100 R 50 50 0 0 B
X PB2 31 1200 500 100 L 50 50 0 0 B
X PB12 32 1200 400 100 L 50 50 0 0 B
X PA10 33 1200 300 100 L 50 50 0 0 B
X PA11 34 1200 200 100 L 50 50 0 0 B
X PA12 35 1200 100 100 L 50 50 0 0 B
X PA13 36 1200 0 100 L 50 50 0 0 B
X VBAT 37 300 1300 100 D 50 50 0 0 W
X PC13 38 1200 -100 100 L 50 50 0 0 B
X PC14_OSC32_IN 39 1200 -200 100 L 50 50 0 0 B
X PB6 4 -1200 500 100 R 50 50 0 0 B
X PC15_OSC32_OUT 40 1200 -300 100 L 50 50 0 0 B
X VDDA 41 400 1300 100 D 50 50 0 0 W
X PA14 42 1200 -400 100 L 50 50 0 0 B
X PA15 43 1200 -500 100 L 50 50 0 0 B
X VDD 44 500 1300 100 D 50 50 0 0 W
X VFBSMPS 45 -1200 1100 100 R 50 50 0 0 I
X VDDSMPS 46 -600 1300 100 D 50 50 0 0 W
X VLXSMPS 47 -1200 1000 100 R 50 50 0 0 I
X VSSSMPS 48 -400 -1300 100 U 50 50 0 0 W
X EP 49 400 -1300 100 U 50 50 0 0 W
X PB7 5 -1200 400 100 R 50 50 0 0 B
X PB8 6 -1200 300 100 R 50 50 0 0 B
X PA0 7 -1200 200 100 R 50 50 0 0 B
X PA1 8 -1200 100 100 R 50 50 0 0 B
X PA2 9 -1200 0 100 R 50 50 0 0 B
X VBAT 37 400 1300 100 D 50 50 0 0 W
X PC13 38 1200 -400 100 L 50 50 0 0 B
X PC14_OSC32_IN 39 -1200 -1000 100 R 50 50 0 0 B
X PB6 4 -1200 800 100 R 50 50 0 0 B
X PC15_OSC32_OUT 40 -1200 -1100 100 R 50 50 0 0 B
X VDDA 41 -800 1300 100 D 50 50 0 0 W
X PA14 42 1200 -100 100 L 50 50 0 0 B
X PA15 43 1200 -200 100 L 50 50 0 0 B
X VDD 44 200 1300 100 D 50 50 0 0 W
X VFBSMPS 45 -500 1300 100 D 50 50 0 0 W
X VDDSMPS 46 300 1300 100 D 50 50 0 0 W
X VLXSMPS 47 -600 1300 100 D 50 50 0 0 W
X VSSSMPS 48 -200 -1300 100 U 50 50 0 0 W
X EP 49 200 -1300 100 U 50 50 0 0 W
X PB7 5 -1200 700 100 R 50 50 0 0 B
X PB8 6 -1200 600 100 R 50 50 0 0 B
X PA0 7 -1200 500 100 R 50 50 0 0 B
X PA1 8 -1200 400 100 R 50 50 0 0 B
X PA2 9 -1200 300 100 R 50 50 0 0 B
ENDDRAW
ENDDEF
#
@@ -274,6 +369,31 @@ X VCC 4 0 300 100 D 50 50 1 1 W
ENDDRAW
ENDDEF
#
# ALSPT19
#
DEF ALSPT19 Q 0 0 Y N 1 F N
F0 "Q" 200 50 50 H V L CNN
F1 "ALSPT19" 200 -50 50 H V L CNN
F2 "Elabdev:ALS_TR8_SMT" 480 -140 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
ALS_TR8_*
$ENDFPLIST
DRAW
C 50 0 111 0 1 10 N
P 2 0 1 0 -75 50 -100 50 N
P 2 0 1 0 -50 100 -75 100 N
P 2 0 1 0 25 25 100 100 N
P 3 0 1 0 -150 125 -75 50 -75 75 N
P 3 0 1 0 -125 175 -50 100 -50 125 N
P 3 0 1 0 25 -25 100 -100 100 -100 N
P 3 0 1 20 25 75 25 -75 25 -75 N
P 5 0 1 0 50 -70 70 -50 90 -90 50 -70 50 -70 F
X C 1 100 200 100 D 50 50 1 1 W
X E 2 100 -200 100 U 50 50 1 1 O
ENDDRAW
ENDDEF
#
# USBCHole
#
DEF USBCHole HL 0 40 Y Y 1 F N
@@ -286,16 +406,53 @@ S -250 100 250 -100 0 1 0 N
ENDDRAW
ENDDEF
#
# Logofirm
# Conn_ST_STDC14
#
DEF Logofirm LOGO 0 40 Y Y 1 F N
F0 "LOGO" 500 700 50 H V C CNN
F1 "Logofirm" -450 700 50 H V C CNN
DEF Conn_ST_STDC14 J 0 20 Y Y 1 F N
F0 "J" -350 650 50 H V R CNN
F1 "Conn_ST_STDC14" 700 650 50 H V R BNN
F2 "" 0 0 50 H I C CNN
F3 "" -350 -1250 50 V I C CNN
$FPLIST
PinHeader?2x07?P1.27mm*
$ENDFPLIST
DRAW
S -400 600 400 -600 0 1 10 f
X NC 1 -400 200 100 R 50 50 1 1 N N
X JTDI/NC 10 500 0 100 L 50 50 1 1 O
X GNDDetect 11 -100 -700 100 U 50 50 1 1 P
X ~RST 12 500 500 100 L 50 50 1 1 C
X VCP_RX 13 500 -400 100 L 50 50 1 1 O
X VCP_TX 14 500 -500 100 L 50 50 1 1 I
X NC 2 -400 100 100 R 50 50 1 1 N N
X VCC 3 0 700 100 D 50 50 1 1 W
X JTMS/SWDIO 4 500 200 100 L 50 50 1 1 B
X GND 5 0 -700 100 U 50 50 1 1 W
X JCLK/SWCLK 6 500 300 100 L 50 50 1 1 O
X GND 7 0 -700 100 U 50 50 1 1 P N
X JTDO/SWO 8 500 100 100 L 50 50 1 1 I
X JRCLK/NC 9 500 -200 100 L 50 50 1 1 I
ENDDRAW
ENDDEF
#
# ESD9B5.0ST5G
#
DEF ESD9B5.0ST5G D 0 40 N N 1 F N
F0 "D" 0 100 50 H V C CNN
F1 "ESD9B5.0ST5G" 0 -100 50 H V C CNN
F2 "Diode_SMD:D_SOD-923" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS ESD9B3.3ST5G SZESD9B5.0ST5G
$FPLIST
D*SOD?923*
$ENDFPLIST
DRAW
T 0 0 0 800 0 0 0 L Normal 0 C C
S -600 -600 600 600 0 1 20 f
P 2 0 1 0 50 0 -50 0 N
P 4 0 1 8 -100 -50 0 0 -100 50 -100 -50 N
P 4 0 1 8 20 50 0 50 0 -50 -20 -50 N
P 4 0 1 8 100 50 100 -50 0 0 100 50 N
X A1 1 -150 0 100 R 50 50 1 1 P
X A2 2 150 0 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#


+ 33
- 0
hardware/modules/elabdev.pretty/ALS_TR8_SMT.kicad_mod View File

@@ -0,0 +1,33 @@
(module Elabdev:ALS_TR8_SMT (layer F.Cu) (tedit 5CCD2B42)
(descr "Phototransistor SMD, square (rectangular) end terminal")
(tags diode)
(attr smd)
(fp_text reference REF** (at 0 -1.43) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value ALS_TR8_SMT (at 0 1.5) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 0.85 -0.4) (end -0.55 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.55 -0.4) (end -0.85 -0.1) (layer F.Fab) (width 0.1))
(fp_line (start -0.85 -0.1) (end -0.85 0.4) (layer F.Fab) (width 0.1))
(fp_line (start -0.85 0.4) (end 0.85 0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.85 0.4) (end 0.85 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start 0.8 -0.735) (end -1.485 -0.735) (layer F.SilkS) (width 0.12))
(fp_line (start -1.485 -0.735) (end -1.485 0.735) (layer F.SilkS) (width 0.12))
(fp_line (start -1.485 0.735) (end 0.8 0.735) (layer F.SilkS) (width 0.12))
(fp_line (start -1.48 0.73) (end -1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.48 -0.73) (end 1.48 -0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 -0.73) (end 1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.48 0.73) (end -1.48 0.73) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.4 0.4) (thickness 0.06)))
)
(pad 1 smd rect (at -0.75 0) (size 0.8 0.8) (layers F.Cu F.Paste F.Mask))
(pad 2 smd rect (at 0.75 0) (size 0.8 0.8) (layers F.Cu F.Paste F.Mask))
(model Sensor_Optical.3dshapes/ALS_TR8_SMT.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

+ 1
- 1
hardware/modules/elabdev.pretty/Johanson_0868AT43A0020E_Down.kicad_mod View File

@@ -1,4 +1,4 @@
(module Johanson_0868AT43A0020E_Down (layer F.Cu) (tedit 5F57B53B)
(module Elabdev:Johanson_0868AT43A0020E_Down (layer F.Cu) (tedit 5F57B53B)
(descr "868MHz Chip RF Antenna -1dBi Solder Surface Mount")
(tags "antenna lora subghz subgigaherz")
(attr smd)


+ 1
- 1
hardware/modules/elabdev.pretty/Johanson_0868AT43A0020E_Up.kicad_mod View File

@@ -1,4 +1,4 @@
(module Johanson_0868AT43A0020E_Up (layer F.Cu) (tedit 5F57B544)
(module Elabdev:Johanson_0868AT43A0020E_Up (layer F.Cu) (tedit 5F57B544)
(descr "868MHz Chip RF Antenna -1dBi Solder Surface Mount")
(tags "antenna lora subghz subgigaherz")
(attr smd)


+ 1
- 1
hardware/modules/elabdev.pretty/Linx_ANT-868-SP_38x98mm.kicad_mod View File

@@ -1,4 +1,4 @@
(module Linx_ANT-868-SP_38x98mm (layer F.Cu) (tedit 5F57B566)
(module Elabdev:Linx_ANT-868-SP_38x98mm (layer F.Cu) (tedit 5F57B566)
(descr "868MHz Chip RF Antenna 1,1dBi Solder Surface Mount")
(tags "antenna lora subghz subgigaherz")
(attr smd)


+ 47
- 0
hardware/modules/elabdev.pretty/Mikrobus_Host_Conn.kicad_mod View File

@@ -0,0 +1,47 @@
(module Elabdev:Mikrobus_Host_Conn (layer F.Cu) (tedit 5F8EFBC8)
(tags mikrobus)
(fp_text reference Mikrobus_Host_Conn (at 11.51 20.23) (layer F.SilkS) hide
(effects (font (size 1 0.9) (thickness 0.05)))
)
(fp_text value REF** (at 11 18) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -1.27 -1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.127))
(fp_line (start -1.27 1.27) (end -1.27 19.05) (layer F.SilkS) (width 0.127))
(fp_line (start -1.27 19.05) (end 1.27 19.05) (layer F.SilkS) (width 0.127))
(fp_line (start 1.27 19.05) (end 1.27 1.27) (layer F.SilkS) (width 0.127))
(fp_line (start 1.27 1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.127))
(fp_line (start 1.27 -1.27) (end -1.27 -1.27) (layer F.SilkS) (width 0.127))
(fp_line (start 21.59 1.27) (end 24.13 1.27) (layer F.SilkS) (width 0.127))
(fp_line (start 24.13 -1.27) (end 24.13 19.05) (layer F.SilkS) (width 0.127))
(fp_line (start 21.59 -1.27) (end 21.59 1.27) (layer F.SilkS) (width 0.127))
(fp_line (start 21.59 1.27) (end 21.59 19.05) (layer F.SilkS) (width 0.127))
(fp_line (start 21.59 19.05) (end 24.13 19.05) (layer F.SilkS) (width 0.127))
(fp_line (start 21.59 -1.27) (end 24.13 -1.27) (layer F.SilkS) (width 0.127))
(fp_line (start -1.27 1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.127))
(fp_line (start -2.54 -3.175) (end -2.54 20.32) (layer F.SilkS) (width 0.127))
(fp_line (start 0 22.86) (end 22.86 22.86) (layer F.SilkS) (width 0.127))
(fp_line (start 25.4 -3.175) (end 25.4 20.32) (layer F.SilkS) (width 0.127))
(fp_line (start 24.13 19.05) (end 22.86 20.32) (layer F.SilkS) (width 0.127))
(fp_arc (start 0 20.32) (end 0 22.86) (angle 90) (layer F.SilkS) (width 0.127))
(fp_arc (start 22.86 20.32) (end 25.4 20.32) (angle 90) (layer F.SilkS) (width 0.127))
(fp_arc (start 22.86 20.32) (end 25.4 20.32) (angle 90) (layer F.SilkS) (width 0.127))
(fp_arc (start 0 -3.175) (end -2.54 -3.175) (angle 90) (layer F.SilkS) (width 0.127))
(fp_arc (start 22.86 -3.175) (end 22.86 -5.715) (angle 90) (layer F.SilkS) (width 0.127))
(pad P1 thru_hole rect (at 0 0) (size 1.6764 1.6764) (drill 0.889) (layers *.Cu *.Mask))
(pad P2 thru_hole circle (at 0 2.54) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask))
(pad P3 thru_hole circle (at 0 5.08) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask))
(pad P4 thru_hole circle (at 0 7.62) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask))
(pad P5 thru_hole circle (at 0 10.16) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask))
(pad P6 thru_hole circle (at 0 12.7) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask))
(pad P7 thru_hole circle (at 0 15.24) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask))
(pad P8 thru_hole circle (at 0 17.78) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask))
(pad P9 thru_hole circle (at 22.86 17.78) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask))
(pad P10 thru_hole circle (at 22.86 15.24) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask))
(pad P11 thru_hole circle (at 22.86 12.7) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask))
(pad P12 thru_hole circle (at 22.86 10.16) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask))
(pad P13 thru_hole circle (at 22.86 7.62) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask))
(pad P14 thru_hole circle (at 22.86 5.08) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask))
(pad P15 thru_hole circle (at 22.86 2.54) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask))
(pad P16 thru_hole rect (at 22.86 0) (size 1.524 1.524) (drill 0.889) (layers *.Cu *.Mask))
)

+ 1
- 1
hardware/modules/elabdev.pretty/Millmax_Pogopin_1x4_P2.54mm.kicad_mod View File

@@ -1,4 +1,4 @@
(module Millmax_Pogopin_1x4_P2.54mm (layer F.Cu) (tedit 5F4D6FA6)
(module Elabdev:Millmax_Pogopin_1x4_P2.54mm (layer F.Cu) (tedit 5F4D6FA6)
(attr smd)
(fp_text reference REF** (at 3 -2.5 unlocked) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))


+ 1
- 1
hardware/modules/elabdev.pretty/Millmax_Pogopin_2x4_P2.54mm.kicad_mod View File

@@ -1,4 +1,4 @@
(module Millmax_Pogopin_2x4_P2.54mm (layer F.Cu) (tedit 5F6E1880)
(module Elabdev:Millmax_Pogopin_2x4_P2.54mm (layer F.Cu) (tedit 5F6E1880)
(attr smd)
(fp_text reference REF** (at 3 -4 unlocked) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))


+ 1
- 1
hardware/modules/elabdev.pretty/QFN-12-1EP_2x2mm_P0.5mm_EP0.76x0.76mm.kicad_mod View File

@@ -1,4 +1,4 @@
(module QFN-12-1EP_2x2mm_P0.5mm_EP0.76x0.76mm (layer F.Cu) (tedit 5F53B4D4)
(module Elabdev:QFN-12-1EP_2x2mm_P0.5mm_EP0.76x0.76mm (layer F.Cu) (tedit 5F53B4D4)
(descr "QFN, 12 Pin, generated with kicad-footprint-generator ipc_dfn_qfn_generator.py")
(tags "QFN DFN_QFN")
(attr smd)


+ 1
- 1
hardware/modules/elabdev.pretty/TFBGA-64_8x8_6.0x6.0mm_P0.65mm.kicad_mod View File

@@ -1,4 +1,4 @@
(module TFBGA-64_8x8_6.0x6.0mm_P0.65mm (layer F.Cu) (tedit 5F68C9A3)
(module Elabdev:TFBGA-64_8x8_6.0x6.0mm_P0.65mm (layer F.Cu) (tedit 5F68C9A3)
(solder_mask_margin 0.025)
(clearance 0.0508)
(attr smd)


+ 504
- 3
hardware/pwr-stmicro.sch View File

@@ -3,14 +3,515 @@ EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 5 8
Sheet 8 9
Title "Democratic Sendcomm"
Date "2020-09-25"
Rev "0.8.2"
Date "2020-10-20"
Rev "0.8.4"
Comp "Europalab Devices"
Comment1 "Copyright © 2020, Europalab Devices"
Comment2 "Fulfilling requirements of 20200210"
Comment3 "Pending quality assurance testing"
Comment4 "Release revision for manufacturing"
$EndDescr
$Comp
L power:+3V3 #PWR?
U 1 1 601CD688
P 5800 2350
AR Path="/5F53D687/601CD688" Ref="#PWR?" Part="1"
AR Path="/60040A68/601CD688" Ref="#PWR0126" Part="1"
F 0 "#PWR0126" H 5800 2200 50 0001 C CNN
F 1 "+3V3" H 5815 2523 50 0000 C CNN
F 2 "" H 5800 2350 50 0001 C CNN
F 3 "" H 5800 2350 50 0001 C CNN
1 5800 2350
1 0 0 -1
$EndComp
$Comp
L Device:C_Small C?
U 1 1 601CD68E
P 7550 4050
AR Path="/5F53D687/601CD68E" Ref="C?" Part="1"
AR Path="/60040A68/601CD68E" Ref="C72" Part="1"
F 0 "C72" H 7250 4096 50 0000 L CNN
F 1 "0,1uF" H 7250 4005 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 7550 4050 50 0001 C CNN
F 3 "~" H 7550 4050 50 0001 C CNN
1 7550 4050
1 0 0 -1
$EndComp
$Comp
L Device:C_Small C?
U 1 1 601CD694
P 7950 4050
AR Path="/5F53D687/601CD694" Ref="C?" Part="1"
AR Path="/60040A68/601CD694" Ref="C73" Part="1"
F 0 "C73" H 8042 4096 50 0000 L CNN
F 1 "4,7uF" H 8042 4005 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 7950 4050 50 0001 C CNN
F 3 "~" H 7950 4050 50 0001 C CNN
1 7950 4050
1 0 0 -1
$EndComp
Connection ~ 5800 2450
Wire Wire Line
5800 2450 5800 2350
$Comp
L Device:Battery_Cell BT?
U 1 1 601CD69E
P 6700 4250
AR Path="/5F53D687/601CD69E" Ref="BT?" Part="1"
AR Path="/60040A68/601CD69E" Ref="BT2" Part="1"
F 0 "BT2" H 6818 4346 50 0000 L CNN
F 1 "Battery_Cell" H 6818 4255 50 0000 L CNN
F 2 "Battery:BatteryHolder_Keystone_3002_1x2032" V 6700 4310 50 0001 C CNN
F 3 "~" V 6700 4310 50 0001 C CNN
1 6700 4250
1 0 0 -1
$EndComp
Wire Wire Line
7550 3950 7950 3950
Connection ~ 7550 3950
Wire Wire Line
6700 4050 6500 4050
$Comp
L Device:C_Small C?
U 1 1 601CD6A7
P 6500 4150
AR Path="/5F53D687/601CD6A7" Ref="C?" Part="1"
AR Path="/60040A68/601CD6A7" Ref="C69" Part="1"
F 0 "C69" H 6200 4196 50 0000 L CNN
F 1 "0,1uF" H 6200 4105 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 6500 4150 50 0001 C CNN
F 3 "~" H 6500 4150 50 0001 C CNN
1 6500 4150
1 0 0 -1
$EndComp
Wire Wire Line
6500 4250 6500 4350
Wire Wire Line
6700 4350 6600 4350
$Comp
L Device:C_Small C?
U 1 1 601CD6AF
P 3700 4350
AR Path="/5F53D687/601CD6AF" Ref="C?" Part="1"
AR Path="/60040A68/601CD6AF" Ref="C62" Part="1"
F 0 "C62" H 3400 4396 50 0000 L CNN
F 1 "0,1uF" H 3400 4305 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 3700 4350 50 0001 C CNN
F 3 "~" H 3700 4350 50 0001 C CNN
1 3700 4350
1 0 0 -1
$EndComp
$Comp
L Device:C_Small C?
U 1 1 601CD6B5
P 4100 4350
AR Path="/5F53D687/601CD6B5" Ref="C?" Part="1"
AR Path="/60040A68/601CD6B5" Ref="C64" Part="1"
F 0 "C64" H 4192 4396 50 0000 L CNN
F 1 "4,7uF" H 4192 4305 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 4100 4350 50 0001 C CNN
F 3 "~" H 4100 4350 50 0001 C CNN
1 4100 4350
1 0 0 -1
$EndComp
Wire Wire Line
4100 4250 3900 4250
Wire Wire Line
3900 4250 3900 4150
Wire Wire Line
6300 3250 5900 3250
Connection ~ 3900 4250
Wire Wire Line
3900 4250 3700 4250
Wire Wire Line
5900 3250 5900 4550
$Comp
L Device:C_Small C?
U 1 1 601CD6C1
P 6700 3250
AR Path="/5F53D687/601CD6C1" Ref="C?" Part="1"
AR Path="/60040A68/601CD6C1" Ref="C70" Part="1"
F 0 "C70" H 6400 3296 50 0000 L CNN
F 1 "0,1uF" H 6400 3205 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 6700 3250 50 0001 C CNN
F 3 "~" H 6700 3250 50 0001 C CNN
1 6700 3250
1 0 0 -1
$EndComp
Wire Wire Line
5800 3050 6700 3050
Connection ~ 5800 3050
Wire Wire Line
5800 3050 5800 2450
Wire Wire Line
6700 3150 6700 3050
$Comp
L Device:C_Small C?
U 1 1 601CD6CB
P 7100 3250
AR Path="/5F53D687/601CD6CB" Ref="C?" Part="1"
AR Path="/60040A68/601CD6CB" Ref="C71" Part="1"
F 0 "C71" H 7192 3296 50 0000 L CNN
F 1 "4,7uF" H 7192 3205 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 7100 3250 50 0001 C CNN
F 3 "~" H 7100 3250 50 0001 C CNN
1 7100 3250
1 0 0 -1
$EndComp
Wire Wire Line
7100 3150 7100 3050
Wire Wire Line
7100 3050 6700 3050
Connection ~ 6700 3050
$Comp
L power:GND #PWR?
U 1 1 601CD6D4
P 6700 3550
AR Path="/5F53D687/601CD6D4" Ref="#PWR?" Part="1"
AR Path="/60040A68/601CD6D4" Ref="#PWR0127" Part="1"
F 0 "#PWR0127" H 6700 3300 50 0001 C CNN
F 1 "GND" H 6705 3377 50 0000 C CNN
F 2 "" H 6700 3550 50 0001 C CNN
F 3 "" H 6700 3550 50 0001 C CNN
1 6700 3550
1 0 0 -1
$EndComp
Wire Wire Line
6300 3250 6300 3350
$Comp
L Device:C_Small C?
U 1 1 601CD6DB
P 6300 3450
AR Path="/5F53D687/601CD6DB" Ref="C?" Part="1"
AR Path="/60040A68/601CD6DB" Ref="C68" Part="1"
F 0 "C68" H 6000 3496 50 0000 L CNN
F 1 "0,1uF" H 6000 3405 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 6300 3450 50 0001 C CNN
F 3 "~" H 6300 3450 50 0001 C CNN
1 6300 3450
1 0 0 -1
$EndComp
Wire Wire Line
5800 3050 5800 4650
Wire Wire Line
6700 3350 6700 3550
Wire Wire Line
6300 3550 6700 3550
Connection ~ 6700 3550
Wire Wire Line
7100 3350 7100 3550
Wire Wire Line
7100 3550 6700 3550
Wire Wire Line
5900 4650 5800 4650
Connection ~ 5900 4650
Wire Wire Line
5900 4650 5900 4550
Connection ~ 5800 4650
Wire Wire Line
5800 4650 5800 5050
Wire Wire Line
4900 4150 3900 4150
$Comp
L Device:C_Small C?
U 1 1 601CD6F6
P 5400 2550
AR Path="/5F53D687/601CD6F6" Ref="C?" Part="1"
AR Path="/60040A68/601CD6F6" Ref="C67" Part="1"
F 0 "C67" H 5100 2596 50 0000 L CNN
F 1 "33pF" H 5100 2505 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 5400 2550 50 0001 C CNN
F 3 "~" H 5400 2550 50 0001 C CNN
1 5400 2550
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR?
U 1 1 601CD6FE
P 5400 2650
AR Path="/5F53D687/601CD6FE" Ref="#PWR?" Part="1"
AR Path="/60040A68/601CD6FE" Ref="#PWR0128" Part="1"
F 0 "#PWR0128" H 5400 2400 50 0001 C CNN
F 1 "GND" H 5405 2477 50 0000 C CNN
F 2 "" H 5400 2650 50 0001 C CNN
F 3 "" H 5400 2650 50 0001 C CNN
1 5400 2650
1 0 0 -1
$EndComp
Wire Wire Line
5200 4950 5200 4650
Wire Wire Line
5200 4650 5300 4650
Wire Wire Line
5300 4650 5300 5050
$Comp
L Device:L_Small L?
U 1 1 601CD707
P 4500 3450
AR Path="/5F53D687/601CD707" Ref="L?" Part="1"
AR Path="/60040A68/601CD707" Ref="L16" Part="1"
F 0 "L16" H 4548 3496 50 0000 L CNN
F 1 "15uH" H 4548 3405 50 0000 L CNN
F 2 "Inductor_SMD:L_0805_2012Metric" H 4500 3450 50 0001 C CNN
F 3 "~" H 4500 3450 50 0001 C CNN
1 4500 3450
1 0 0 -1
$EndComp
$Comp
L Device:C_Small C?
U 1 1 601CD70D
P 4500 3650
AR Path="/5F53D687/601CD70D" Ref="C?" Part="1"
AR Path="/60040A68/601CD70D" Ref="C66" Part="1"
F 0 "C66" H 4592 3696 50 0000 L CNN
F 1 "0,47uF" H 4592 3605 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 4500 3650 50 0001 C CNN
F 3 "~" H 4500 3650 50 0001 C CNN
1 4500 3650
1 0 0 -1
$EndComp
$Comp
L Device:R_Small R?
U 1 1 601CD713
P 4900 3250
AR Path="/5F53D687/601CD713" Ref="R?" Part="1"
AR Path="/60040A68/601CD713" Ref="R19" Part="1"
F 0 "R19" V 5000 3250 50 0000 C CNN
F 1 "0" V 5100 3250 50 0000 C CNN
F 2 "Resistor_SMD:R_0805_2012Metric" H 4900 3250 50 0001 C CNN
F 3 "~" H 4900 3250 50 0001 C CNN
1 4900 3250
0 1 1 0
$EndComp
Wire Wire Line
5200 4650 5200 3150
Wire Wire Line
5200 3150 4400 3150
Connection ~ 5200 4650
Wire Wire Line
4400 3150 4400 3550
$Comp
L Device:C_Small C?
U 1 1 601CD71E
P 4300 3300
AR Path="/5F53D687/601CD71E" Ref="C?" Part="1"
AR Path="/60040A68/601CD71E" Ref="C65" Part="1"
F 0 "C65" H 4025 3346 50 0000 L CNN
F 1 "33pF" H 4025 3255 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 4300 3300 50 0001 C CNN
F 3 "~" H 4300 3300 50 0001 C CNN
1 4300 3300
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR?
U 1 1 601CD724
P 4300 3850
AR Path="/5F53D687/601CD724" Ref="#PWR?" Part="1"
AR Path="/60040A68/601CD724" Ref="#PWR0129" Part="1"
F 0 "#PWR0129" H 4300 3600 50 0001 C CNN
F 1 "GND" H 4305 3677 50 0000 C CNN
F 2 "" H 4300 3850 50 0001 C CNN
F 3 "" H 4300 3850 50 0001 C CNN
1 4300 3850
1 0 0 -1
$EndComp
Wire Wire Line
5300 4650 5300 3050
Wire Wire Line
5300 3050 4300 3050
Wire Wire Line
4300 3050 4300 3200
Connection ~ 5300 4650
Wire Wire Line
4300 3400 4300 3550
Wire Wire Line
4500 3750 4300 3750
Connection ~ 4300 3750
Wire Wire Line
4300 3750 4300 3850
$Comp
L Device:C_Small C?
U 1 1 601CD732
P 3900 3300
AR Path="/5F53D687/601CD732" Ref="C?" Part="1"
AR Path="/60040A68/601CD732" Ref="C63" Part="1"
F 0 "C63" H 3625 3346 50 0000 L CNN
F 1 "33pF" H 3625 3255 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 3900 3300 50 0001 C CNN
F 3 "~" H 3900 3300 50 0001 C CNN
1 3900 3300
1 0 0 -1
$EndComp
Wire Wire Line
5400 5150 5400 2950
Wire Wire Line
5400 2950 3900 2950
Wire Wire Line
3900 2950 3900 3200
Wire Wire Line
3900 3400 3900 3550
Wire Wire Line
3900 3550 4300 3550
Connection ~ 4300 3550
Wire Wire Line
4300 3550 4300 3750
Wire Wire Line
6100 4750 6100 4050
Wire Wire Line
6100 4050 6500 4050
Connection ~ 6500 4050
Wire Wire Line
6000 4850 6000 4550
Wire Wire Line
6000 3950 7550 3950
Wire Wire Line
6000 4550 5900 4550
Connection ~ 6000 4550
Wire Wire Line
6000 4550 6000 3950
Connection ~ 5900 4550
Wire Wire Line
7550 4150 7750 4150
Wire Wire Line
6600 4350 6600 4450
Connection ~ 6600 4350
Wire Wire Line
6600 4350 6500 4350
Wire Wire Line
7750 4150 7750 4450
Connection ~ 7750 4150
Wire Wire Line
7750 4150 7950 4150
Wire Wire Line
5000 3250 5100 3250
$Comp
L Device:L_Small L?
U 1 1 601CD751
P 3600 3850
AR Path="/5F53D687/601CD751" Ref="L?" Part="1"
AR Path="/60040A68/601CD751" Ref="L15" Part="1"
F 0 "L15" H 3400 3900 50 0000 L CNN
F 1 "600" H 3400 3800 50 0000 L CNN
F 2 "Inductor_SMD:L_0805_2012Metric" H 3600 3850 50 0001 C CNN
F 3 "~" H 3600 3850 50 0001 C CNN
1 3600 3850
1 0 0 -1
$EndComp
$Comp
L power:+3V3 #PWR?
U 1 1 601CD757
P 3600 3750
AR Path="/5F53D687/601CD757" Ref="#PWR?" Part="1"
AR Path="/60040A68/601CD757" Ref="#PWR0130" Part="1"
F 0 "#PWR0130" H 3600 3600 50 0001 C CNN
F 1 "+3V3" H 3615 3923 50 0000 C CNN
F 2 "" H 3600 3750 50 0001 C CNN
F 3 "" H 3600 3750 50 0001 C CNN
1 3600 3750
1 0 0 -1
$EndComp
Wire Wire Line
4400 3550 4500 3550
Connection ~ 4500 3550
Wire Wire Line
4800 3250 4500 3250
Wire Wire Line
4500 3250 4500 3350
Wire Wire Line
3600 3950 3600 4150
Wire Wire Line
3600 4150 3900 4150
Connection ~ 3900 4150
$Comp
L power:GND #PWR?
U 1 1 601D8622
P 7200 4450
AR Path="/5F53D687/601D8622" Ref="#PWR?" Part="1"
AR Path="/60040A68/601D8622" Ref="#PWR0131" Part="1"
F 0 "#PWR0131" H 7200 4200 50 0001 C CNN
F 1 "GND" H 7205 4277 50 0000 C CNN
F 2 "" H 7200 4450 50 0001 C CNN
F 3 "" H 7200 4450 50 0001 C CNN
1 7200 4450
1 0 0 -1
$EndComp
Wire Wire Line
6600 4450 7200 4450
Wire Wire Line
7750 4450 7200 4450
Connection ~ 7200 4450
$Comp
L power:GND #PWR?
U 1 1 601E2FD1
P 3900 4450
AR Path="/5F53D687/601E2FD1" Ref="#PWR?" Part="1"
AR Path="/60040A68/601E2FD1" Ref="#PWR0132" Part="1"
F 0 "#PWR0132" H 3900 4200 50 0001 C CNN
F 1 "GND" H 3905 4277 50 0000 C CNN
F 2 "" H 3900 4450 50 0001 C CNN
F 3 "" H 3900 4450 50 0001 C CNN
1 3900 4450
1 0 0 -1
$EndComp
Wire Wire Line
3700 4450 3900 4450
Wire Wire Line
4100 4450 3900 4450
Connection ~ 3900 4450
Text HLabel 4800 4750 0 50 UnSpc ~ 0
PWR_VDDA
Text HLabel 4800 4850 0 50 UnSpc ~ 0
PWR_VLXSMPS
Text HLabel 4800 4950 0 50 UnSpc ~ 0
PWR_VFBSMPS
Text HLabel 4800 5050 0 50 UnSpc ~ 0
PWR_VDDRF1V55
Text HLabel 4800 5150 0 50 UnSpc ~ 0
PWR_VDDPA
Text HLabel 6200 5150 2 50 UnSpc ~ 0
PWR_VDDRF
Text HLabel 6200 5050 2 50 UnSpc ~ 0
PWR_VDD1
Text HLabel 6200 4950 2 50 UnSpc ~ 0
PWR_VDD2
Text HLabel 6200 4850 2 50 UnSpc ~ 0
PWR_VDDSMPS
Text HLabel 6200 4750 2 50 UnSpc ~ 0
PWR_VBAT
Wire Wire Line
4800 5150 5400 5150
Wire Wire Line
4800 5050 5300 5050
Wire Wire Line
4800 4950 5200 4950
Wire Wire Line
4800 4850 5100 4850
Wire Wire Line
4800 4750 4900 4750
Wire Wire Line
6200 4750 6100 4750
Wire Wire Line
6200 4850 6000 4850
Wire Wire Line
6200 4950 5900 4950
Wire Wire Line
6200 5050 5800 5050
Wire Wire Line
6200 5150 5600 5150
Wire Wire Line
5100 4850 5100 3250
Wire Wire Line
4900 4750 4900 4150
Wire Wire Line
5400 2450 5600 2450
Wire Wire Line
5600 2450 5800 2450
Connection ~ 5600 2450
Wire Wire Line
5600 5150 5600 2450
Wire Wire Line
5900 4650 5900 4950
$EndSCHEMATC

+ 17
- 3
hardware/rfsw-mchip.sch View File

@@ -3,10 +3,10 @@ EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 6 8
Sheet 5 9
Title "Democratic Sendcomm"
Date "2020-09-25"
Rev "0.8.2"
Date "2020-10-20"
Rev "0.8.4"
Comp "Europalab Devices"
Comment1 "Copyright © 2020, Europalab Devices"
Comment2 "Fulfilling requirements of 20200210"
@@ -834,4 +834,18 @@ Connection ~ 2550 5550
Wire Wire Line
2550 5550 2550 6050
Connection ~ 2550 4000
Text Notes 2950 3175 1 39 Italic 0
Truth table
Wire Notes Line
2925 2825 2925 2775
Wire Notes Line
2925 2775 3950 2775
Wire Notes Line
3950 2775 3950 3250
Wire Notes Line
3950 3250 2925 3250
Wire Notes Line
2925 3250 2925 3175
Text Notes 3550 2900 0 50 ~ 10
RF-SP3T
$EndSCHEMATC

+ 765
- 0
hardware/rfsw-stmicro.sch View File

@@ -0,0 +1,765 @@
EESchema Schematic File Version 4
EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 3 9
Title "Democratic Sendcomm"
Date "2020-10-20"
Rev "0.8.4"
Comp "Europalab Devices"
Comment1 "Copyright © 2020, Europalab Devices"
Comment2 "Fulfilling requirements of 20200210"
Comment3 "Pending quality assurance testing"
Comment4 "Release revision for manufacturing"
$EndDescr
$Comp
L Elabdev:MASW007935 U11
U 1 1 5F86275C
P 4600 6000
F 0 "U11" H 4350 6300 39 0000 C CNN
F 1 "MASW007935" H 4700 6300 39 0000 C CNN
F 2 "Package_TO_SOT_SMD:SOT-23-6" H 4600 6100 50 0001 C CNN
F 3 "https://cdn.macom.com/datasheets/MASW-007935.pdf" H 4600 6100 50 0001 C CNN
1 4600 6000
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0101
U 1 1 5F8AA403
P 4700 6400
F 0 "#PWR0101" H 4700 6150 50 0001 C CNN
F 1 "GND" H 4705 6227 50 0000 C CNN
F 2 "" H 4700 6400 50 0001 C CNN
F 3 "" H 4700 6400 50 0001 C CNN
1 4700 6400
1 0 0 -1
$EndComp
$Comp
L Device:C_Small C46
U 1 1 5F8AB1DB
P 5300 5800
F 0 "C46" V 5071 5800 50 0000 C CNN
F 1 "39pF" V 5162 5800 50 0000 C CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 5300 5800 50 0001 C CNN
F 3 "~" H 5300 5800 50 0001 C CNN
1 5300 5800
0 1 1 0
$EndComp
Wire Wire Line
5000 5800 5200 5800
$Comp
L Device:C_Small C47
U 1 1 5F8ABC2C
P 5300 6000
F 0 "C47" V 5425 6000 50 0000 C CNN
F 1 "39pF" V 5525 6000 50 0000 C CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 5300 6000 50 0001 C CNN
F 3 "~" H 5300 6000 50 0001 C CNN
1 5300 6000
0 1 1 0
$EndComp
Wire Wire Line
5000 6000 5200 6000
$Comp
L Device:C_Small C45
U 1 1 5F8AD0D2
P 3900 6300
F 0 "C45" H 3992 6346 50 0000 L CNN
F 1 "100pF" H 3992 6255 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 3900 6300 50 0001 C CNN
F 3 "~" H 3900 6300 50 0001 C CNN
1 3900 6300
1 0 0 -1
$EndComp
Wire Wire Line
4200 6100 2800 6100
Wire Wire Line
4200 6200 3900 6200
$Comp
L power:GND #PWR0102
U 1 1 5F8ADBB3
P 3900 6400
F 0 "#PWR0102" H 3900 6150 50 0001 C CNN
F 1 "GND" H 3905 6227 50 0000 C CNN
F 2 "" H 3900 6400 50 0001 C CNN
F 3 "" H 3900 6400 50 0001 C CNN
1 3900 6400
1 0 0 -1
$EndComp
Wire Wire Line
2800 6300 2800 6400
Wire Wire Line
2800 6400 3900 6400
Connection ~ 3900 6400
$Comp
L Device:C_Small C44
U 1 1 5F8AF02D
P 3900 5900
F 0 "C44" V 3671 5900 50 0000 C CNN
F 1 "39pF" V 3762 5900 50 0000 C CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 3900 5900 50 0001 C CNN
F 3 "~" H 3900 5900 50 0001 C CNN
1 3900 5900
0 1 1 0
$EndComp
Wire Wire Line
4200 5900 4000 5900
Wire Wire Line
3800 5900 3600 5900
Text Label 3600 5900 2 50 ~ 0
ANT
$Comp
L Elabdev:BGS12SN6 U1
U 1 1 5F8B3B9D
P 4600 2450
F 0 "U1" H 4250 2900 50 0000 C CNN
F 1 "BGS12SN6" H 4800 2900 50 0000 C CNN
F 2 "Elabdev:TSNP-6-2_0.7x1.1mm_P0.4mm" H 4600 2000 50 0001 C CNN
F 3 "https://www.infineon.com/dgdl/Infineon-BGS12SN6-DS-v02_03-EN.pdf" H 4600 2650 50 0001 C CNN
1 4600 2450
1 0 0 -1
$EndComp
Wire Wire Line
5100 2450 5900 2450
$Comp
L power:GND #PWR0103
U 1 1 5F8C215E
P 4600 2950
F 0 "#PWR0103" H 4600 2700 50 0001 C CNN
F 1 "GND" H 4605 2777 50 0000 C CNN
F 2 "" H 4600 2950 50 0001 C CNN
F 3 "" H 4600 2950 50 0001 C CNN
1 4600 2950
1 0 0 -1
$EndComp
Wire Wire Line
4100 2350 3900 2350
Text Label 3900 2350 2 50 ~ 0
ANT
Text HLabel 3700 6200 0 50 Input ~ 0
FE_CTRL2
Wire Wire Line
3700 6200 3900 6200
Connection ~ 3900 6200
$Comp
L Device:C_Small C43
U 1 1 5F8C4134
P 2800 6200
F 0 "C43" H 2892 6246 50 0000 L CNN
F 1 "100pF" H 2892 6155 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 2800 6200 50 0001 C CNN
F 3 "~" H 2800 6200 50 0001 C CNN
1 2800 6200
1 0 0 -1
$EndComp
Wire Wire Line
2800 6100 2600 6100
Connection ~ 2800 6100
Text HLabel 2600 6100 0 50 Input ~ 0
FE_CTRL1
$Comp
L power:+3V3 #PWR0104
U 1 1 5F8C4F8A
P 4600 1550
F 0 "#PWR0104" H 4600 1400 50 0001 C CNN
F 1 "+3V3" H 4615 1723 50 0000 C CNN
F 2 "" H 4600 1550 50 0001 C CNN
F 3 "" H 4600 1550 50 0001 C CNN
1 4600 1550
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0105
U 1 1 5F8C596E
P 5400 1850
F 0 "#PWR0105" H 5400 1600 50 0001 C CNN
F 1 "GND" H 5405 1677 50 0000 C CNN
F 2 "" H 5400 1850 50 0001 C CNN
F 3 "" H 5400 1850 50 0001 C CNN
1 5400 1850
1 0 0 -1
$EndComp
Wire Wire Line
4600 1950 4600 1650
$Comp
L Device:C_Small C48
U 1 1 5F8C6C09
P 5400 1750
F 0 "C48" H 5492 1796 50 0000 L CNN
F 1 "1nF" H 5492 1705 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 5400 1750 50 0001 C CNN
F 3 "~" H 5400 1750 50 0001 C CNN
1 5400 1750
1 0 0 -1
$EndComp
Wire Wire Line
5400 1650 4600 1650
Connection ~ 4600 1650
Wire Wire Line
4600 1650 4600 1550
Text Notes 900 2610 1 39 Italic 0
Truth table
Text Notes 950 2600 0 50 ~ 0
Ctrl State\n-- ----\n0 RFC to RF1 (RFI)\n1 RFC to RF2 (RFO)
Wire Notes Line
875 2270 875 2225
Wire Notes Line
875 2225 1900 2225
Text Notes 1475 2375 0 50 ~ 10
RF-SPDT
Wire Notes Line
1900 2650 875 2650
Wire Notes Line
1900 2225 1900 2650
Wire Notes Line
875 2650 875 2610
$Comp
L RF_Switch:MSWA-2-20 U10
U 1 1 5F8D4013
P 4600 4250
F 0 "U10" H 4150 4600 50 0000 C CNN
F 1 "MSWA-2-20" H 4850 4600 50 0000 C CNN
F 2 "Package_SO:SOP-8_3.76x4.96mm_P1.27mm" H 4600 4700 50 0001 C CNN
F 3 "https://www.minicircuits.com/pdfs/MSWA-2-20+.pdf" H 4050 5500 50 0001 C CNN
1 4600 4250
1 0 0 -1
$EndComp
Text HLabel 3900 2550 0 50 Input ~ 0
FE_CTRL2
Wire Wire Line
4100 2550 3900 2550
Wire Wire Line
5400 5800 5900 5800
Wire Notes Line
2000 5750 2000 6250
Wire Notes Line
2000 6250 875 6250
Wire Notes Line
875 6250 875 6175
Text Notes 1575 5900 0 50 ~ 10
RF-SPDT
Wire Notes Line
875 5750 2000 5750
Wire Notes Line
875 5825 875 5750
Text Notes 950 6200 0 50 ~ 0
V1 V2 State\n-- -- ----\n 0 0 Shutdown\n 1 0 RFC to RF2 (RFI)\n 0 1 RFC to RF1 (RFO)
Text Notes 900 6175 1 39 Italic 0
Truth table
$Comp
L power:GND #PWR0106
U 1 1 5F8EF87A
P 4600 4650
F 0 "#PWR0106" H 4600 4400 50 0001 C CNN
F 1 "GND" H 4605 4477 50 0000 C CNN
F 2 "" H 4600 4650 50 0001 C CNN
F 3 "" H 4600 4650 50 0001 C CNN
1 4600 4650
1 0 0 -1
$EndComp
Text Label 3800 4050 2 50 ~ 0
ANT
Wire Wire Line
4000 4050 3800 4050
Wire Notes Line
2300 4090 2300 4500
Wire Notes Line
2300 4500 875 4500
Wire Notes Line
875 4500 875 4465
Text Notes 1875 4225 0 50 ~ 10
RF-SPDT
Wire Notes Line
875 4090 2300 4090
Wire Notes Line
875 4125 875 4090
Text Notes 900 4465 1 39 Italic 0
Truth table
Text Notes 1225 2175 0 50 Italic 10
Infineon
Text Notes 1300 4050 0 50 Italic 10
Minicircuits
Text Notes 1300 5700 0 50 Italic 10
Macom
Text Notes 950 4450 0 50 ~ 0
Ctrl1 Ctrl2 State\n--- --- ----\n 0 -V RFIN to OUT2 (RFO)\n -V 0 RFIN to OUT1 (RFI)
Text HLabel 6000 4250 2 50 Input ~ 0
SW_RFO
Wire Wire Line
4000 4350 3800 4350
Wire Wire Line
4000 4450 3800 4450
Text HLabel 3800 4350 0 50 Input ~ 0
FE_CTRL1
Text HLabel 3800 4450 0 50 Input ~ 0
FE_CTRL2
Wire Wire Line
5900 2450 5900 4250
Wire Wire Line
5200 4250 5900 4250
Connection ~ 5900 4250
Wire Wire Line
5900 4250 5900 5800
Text HLabel 6600 4050 2 50 Output ~ 0
SW_RFI
Wire Wire Line
5400 6000 6500 6000
Wire Wire Line
5200 4050 6500 4050
Connection ~ 6500 4050
Wire Wire Line
6500 4050 6500 6000
Wire Wire Line
6500 2250 6500 4050
Wire Wire Line
5100 2250 6500 2250
Wire Wire Line
6000 4250 5900 4250
Wire Wire Line
6600 4050 6500 4050
Wire Notes Line
1250 5750 1250 5575
Wire Notes Line
1250 5575 1625 5575
Wire Notes Line
1625 5575 1625 5750
Wire Notes Line
1175 2225 1175 2050
Wire Notes Line
1175 2050 1600 2050
Wire Notes Line
1600 2050 1600 2225
Wire Notes Line
1250 4090 1250 3930
Wire Notes Line
1250 3930 1790 3930
Wire Notes Line
1790 3930 1790 4090
Text Notes 3300 5050 0 50 ~ 0
Might not work, due\nto datasheet specified\nnegative control voltage
Wire Notes Line
3250 4750 3250 5100
Wire Notes Line
3250 5100 4300 5100
Wire Notes Line
4300 5100 4300 4750
Wire Notes Line
4300 4750 3250 4750
Wire Notes Line
3750 4550 3550 4750
$Comp
L power:GND #PWR0107
U 1 1 60B4EFA8
P 9700 2750
F 0 "#PWR0107" H 9700 2500 50 0001 C CNN
F 1 "GND" H 9705 2577 50 0000 C CNN
F 2 "" H 9700 2750 50 0001 C CNN
F 3 "" H 9700 2750 50 0001 C CNN
1 9700 2750
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0108
U 1 1 60B4F22E
P 8850 3250
F 0 "#PWR0108" H 8850 3000 50 0001 C CNN
F 1 "GND" H 8855 3077 50 0000 C CNN
F 2 "" H 8850 3250 50 0001 C CNN
F 3 "" H 8850 3250 50 0001 C CNN
1 8850 3250
1 0 0 -1
$EndComp
$Comp
L Device:C_Small C54
U 1 1 60B4F238
P 8500 2950
F 0 "C54" H 8592 2996 50 0000 L CNN
F 1 "0,1uF" H 8592 2905 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 8500 2950 50 0001 C CNN
F 3 "~" H 8500 2950 50 0001 C CNN
1 8500 2950
1 0 0 -1
$EndComp
$Comp
L Device:C_Small C58
U 1 1 60B50234
P 9200 2950
F 0 "C58" H 9100 2996 50 0000 R CNN
F 1 "0,1uF" H 9100 2905 50 0000 R CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 9200 2950 50 0001 C CNN
F 3 "~" H 9200 2950 50 0001 C CNN
1 9200 2950
1 0 0 -1
$EndComp
$Comp
L Device:L_Small L12
U 1 1 60B5130C
P 8850 2750
F 0 "L12" V 9035 2750 50 0000 C CNN
F 1 "0R" V 8944 2750 50 0000 C CNN
F 2 "Inductor_SMD:L_0805_2012Metric" H 8850 2750 50 0001 C CNN
F 3 "~" H 8850 2750 50 0001 C CNN
1 8850 2750
0 -1 -1 0
$EndComp
Wire Wire Line
8500 2850 8500 2750
Wire Wire Line
8500 2750 8750 2750
Wire Wire Line
9200 2850 9200 2750
Wire Wire Line
9200 2750 8950 2750
Wire Wire Line
8500 3050 8500 3150
Wire Wire Line
8500 3150 8850 3150
Wire Wire Line
9200 3150 9200 3050
Wire Wire Line
8850 3150 8850 3250
Connection ~ 8850 3150
Wire Wire Line
8850 3150 9200 3150
$Comp
L Device:C_Small C50
U 1 1 60B5E5E5
P 8200 2750
F 0 "C50" V 7881 2750 50 0000 C CNN
F 1 "33pF" V 7972 2750 50 0000 C CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 8200 2750 50 0001 C CNN
F 3 "~" H 8200 2750 50 0001 C CNN
F 4 "DNP" V 8063 2750 50 0000 C CNN "Placement"
1 8200 2750
0 1 1 0
$EndComp
Wire Wire Line
8300 2750 8500 2750
Connection ~ 8500 2750
Wire Wire Line
9600 2750 9200 2750
Connection ~ 9200 2750
Wire Wire Line
8100 2750 7900 2750
Text Label 7900 2750 2 50 ~ 0
ANT
$Comp
L power:GND #PWR0109
U 1 1 60B6ADA5
P 8850 4450
F 0 "#PWR0109" H 8850 4200 50 0001 C CNN
F 1 "GND" H 8855 4277 50 0000 C CNN
F 2 "" H 8850 4450 50 0001 C CNN
F 3 "" H 8850 4450 50 0001 C CNN
1 8850 4450
1 0 0 -1
$EndComp
$Comp
L Device:C_Small C55
U 1 1 60B6ADAF
P 8500 4150
F 0 "C55" H 8592 4196 50 0000 L CNN
F 1 "0,1uF" H 8592 4105 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 8500 4150 50 0001 C CNN
F 3 "~" H 8500 4150 50 0001 C CNN
1 8500 4150
1 0 0 -1
$EndComp
$Comp
L Device:C_Small C59
U 1 1 60B6ADB9
P 9200 4150
F 0 "C59" H 9100 4196 50 0000 R CNN
F 1 "0,1uF" H 9100 4105 50 0000 R CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 9200 4150 50 0001 C CNN
F 3 "~" H 9200 4150 50 0001 C CNN
1 9200 4150
1 0 0 -1
$EndComp
$Comp
L Device:L_Small L13
U 1 1 60B6ADC3
P 8850 3950
F 0 "L13" V 9035 3950 50 0000 C CNN
F 1 "0R" V 8944 3950 50 0000 C CNN
F 2 "Inductor_SMD:L_0805_2012Metric" H 8850 3950 50 0001 C CNN
F 3 "~" H 8850 3950 50 0001 C CNN
1 8850 3950
0 -1 -1 0
$EndComp
Wire Wire Line
8500 4050 8500 3950
Wire Wire Line
8500 3950 8750 3950
Wire Wire Line
9200 4050 9200 3950
Wire Wire Line
9200 3950 8950 3950
Wire Wire Line
8500 4250 8500 4350
Wire Wire Line
8500 4350 8850 4350
Wire Wire Line
9200 4350 9200 4250
Wire Wire Line
8850 4350 8850 4450
Connection ~ 8850 4350
Wire Wire Line
8850 4350 9200 4350
$Comp
L Device:C_Small C51
U 1 1 60B6ADD7
P 8200 3950
F 0 "C51" V 7881 3950 50 0000 C CNN
F 1 "33pF" V 7972 3950 50 0000 C CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 8200 3950 50 0001 C CNN
F 3 "~" H 8200 3950 50 0001 C CNN
F 4 "DNP" V 8063 3950 50 0000 C CNN "Placement"
1 8200 3950
0 1 1 0
$EndComp
Wire Wire Line
8300 3950 8500 3950
Connection ~ 8500 3950
Wire Wire Line
9600 3950 9200 3950
Connection ~ 9200 3950
Wire Wire Line
8100 3950 7900 3950
Text Label 7900 3950 2 50 ~ 0
ANT
$Comp
L Connector:Conn_Coaxial J8
U 1 1 60B6E11F
P 9600 1550
F 0 "J8" H 9700 1525 50 0000 L CNN
F 1 "Conn_Coaxial" H 9700 1434 50 0000 L CNN
F 2 "Connector_Coaxial:SMA_Samtec_SMA-J-P-H-ST-EM1_EdgeMount" H 9600 1550 50 0001 C CNN
F 3 " ~" H 9600 1550 50 0001 C CNN
1 9600 1550
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0110
U 1 1 60B6E129
P 9600 1750
F 0 "#PWR0110" H 9600 1500 50 0001 C CNN
F 1 "GND" H 9605 1577 50 0000 C CNN
F 2 "" H 9600 1750 50 0001 C CNN
F 3 "" H 9600 1750 50 0001 C CNN
1 9600 1750
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0111
U 1 1 60B6E133
P 8850 2050
F 0 "#PWR0111" H 8850 1800 50 0001 C CNN
F 1 "GND" H 8855 1877 50 0000 C CNN
F 2 "" H 8850 2050 50 0001 C CNN
F 3 "" H 8850 2050 50 0001 C CNN
1 8850 2050
1 0 0 -1
$EndComp
$Comp
L Device:C_Small C53
U 1 1 60B6E13D
P 8500 1750
F 0 "C53" H 8592 1796 50 0000 L CNN
F 1 "3,3pF" H 8592 1705 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 8500 1750 50 0001 C CNN
F 3 "~" H 8500 1750 50 0001 C CNN
1 8500 1750
1 0 0 -1
$EndComp
$Comp
L Device:C_Small C57
U 1 1 60B6E147
P 9200 1750
F 0 "C57" H 9100 1796 50 0000 R CNN
F 1 "3,3pF" H 9100 1705 50 0000 R CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 9200 1750 50 0001 C CNN
F 3 "~" H 9200 1750 50 0001 C CNN
1 9200 1750
1 0 0 -1
$EndComp
$Comp
L Device:L_Small L11
U 1 1 60B6E151
P 8850 1550
F 0 "L11" V 9035 1550 50 0000 C CNN
F 1 "8,7nH" V 8944 1550 50 0000 C CNN
F 2 "Inductor_SMD:L_0805_2012Metric" H 8850 1550 50 0001 C CNN
F 3 "~" H 8850 1550 50 0001 C CNN
1 8850 1550
0 -1 -1 0
$EndComp
Wire Wire Line
8500 1650 8500 1550
Wire Wire Line
8500 1550 8750 1550
Wire Wire Line
9200 1650 9200 1550
Wire Wire Line
9200 1550 8950 1550
Wire Wire Line
8500 1850 8500 1950
Wire Wire Line
8500 1950 8850 1950
Wire Wire Line
9200 1950 9200 1850
Wire Wire Line
8850 1950 8850 2050
Connection ~ 8850 1950
Wire Wire Line
8850 1950 9200 1950
$Comp
L Device:C_Small C49
U 1 1 60B6E165
P 8200 1550
F 0 "C49" V 7971 1550 50 0000 C CNN
F 1 "33pF" V 8062 1550 50 0000 C CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 8200 1550 50 0001 C CNN
F 3 "~" H 8200 1550 50 0001 C CNN
1 8200 1550
0 1 1 0
$EndComp
Wire Wire Line
8300 1550 8500 1550
Connection ~ 8500 1550
Wire Wire Line
9400 1550 9200 1550
Connection ~ 9200 1550
Wire Wire Line
8100 1550 7900 1550
Text Label 7900 1550 2 50 ~ 0
ANT
$Comp
L Device:Antenna_Shield AE8
U 1 1 60B7220E
P 9600 2550
F 0 "AE8" H 9744 2589 50 0000 L CNN
F 1 "Antenna_Shield" H 9744 2498 50 0000 L CNN
F 2 "Connector_Coaxial:U.FL_Hirose_U.FL-R-SMT-1_Vertical" H 9600 2650 50 0001 C CNN
F 3 "~" H 9600 2650 50 0001 C CNN
1 9600 2550
1 0 0 -1
$EndComp
$Comp
L Device:Antenna_Chip AE10
U 1 1 60B75D15
P 9700 3850
F 0 "AE10" H 9556 3977 50 0000 R CNN
F 1 "Antenna_Chip" H 9556 3886 50 0000 R CNN
F 2 "Elabdev:Johanson_0868AT43A0020E_Down" H 9600 4025 50 0001 C CNN
F 3 "~" H 9600 4025 50 0001 C CNN
1 9700 3850
-1 0 0 -1
$EndComp
NoConn ~ 9800 3950
$Comp
L power:GND #PWR0112
U 1 1 60B7A974
P 8850 5650
F 0 "#PWR0112" H 8850 5400 50 0001 C CNN
F 1 "GND" H 8855 5477 50 0000 C CNN
F 2 "" H 8850 5650 50 0001 C CNN
F 3 "" H 8850 5650 50 0001 C CNN
1 8850 5650
1 0 0 -1
$EndComp
$Comp
L Device:C_Small C56
U 1 1 60B7A97E
P 8500 5350
F 0 "C56" H 8592 5396 50 0000 L CNN
F 1 "0,1uF" H 8592 5305 50 0000 L CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 8500 5350 50 0001 C CNN
F 3 "~" H 8500 5350 50 0001 C CNN
1 8500 5350
1 0 0 -1
$EndComp
$Comp
L Device:C_Small C60
U 1 1 60B7A988
P 9200 5350
F 0 "C60" H 9100 5396 50 0000 R CNN
F 1 "0,1uF" H 9100 5305 50 0000 R CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 9200 5350 50 0001 C CNN
F 3 "~" H 9200 5350 50 0001 C CNN
1 9200 5350
1 0 0 -1
$EndComp
$Comp
L Device:L_Small L14
U 1 1 60B7A992
P 8850 5150
F 0 "L14" V 9035 5150 50 0000 C CNN
F 1 "0R" V 8944 5150 50 0000 C CNN
F 2 "Inductor_SMD:L_0805_2012Metric" H 8850 5150 50 0001 C CNN
F 3 "~" H 8850 5150 50 0001 C CNN
1 8850 5150
0 -1 -1 0
$EndComp
Wire Wire Line
8500 5250 8500 5150
Wire Wire Line
8500 5150 8750 5150
Wire Wire Line
9200 5250 9200 5150
Wire Wire Line
9200 5150 8950 5150
Wire Wire Line
8500 5450 8500 5550
Wire Wire Line
8500 5550 8850 5550
Wire Wire Line
9200 5550 9200 5450
Wire Wire Line
8850 5550 8850 5650
Connection ~ 8850 5550
Wire Wire Line
8850 5550 9200 5550
$Comp
L Device:C_Small C52
U 1 1 60B7A9A7
P 8200 5150
F 0 "C52" V 7881 5150 50 0000 C CNN
F 1 "33pF" V 7972 5150 50 0000 C CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 8200 5150 50 0001 C CNN
F 3 "~" H 8200 5150 50 0001 C CNN
F 4 "DNP" V 8063 5150 50 0000 C CNN "Placement"
1 8200 5150
0 1 1 0
$EndComp
Wire Wire Line
8300 5150 8500 5150
Connection ~ 8500 5150
Wire Wire Line
9600 5150 9200 5150
Connection ~ 9200 5150
Wire Wire Line
8100 5150 7900 5150
Text Label 7900 5150 2 50 ~ 0
ANT
$Comp
L Device:Antenna AE9
U 1 1 60B7E7BB
P 9600 4950
F 0 "AE9" H 9680 4939 50 0000 L CNN
F 1 "Antenna" H 9680 4848 50 0000 L CNN
F 2 "RF_Antenna:Texas_SWRA416_868MHz_915MHz" H 9600 4950 50 0001 C CNN
F 3 "~" H 9600 4950 50 0001 C CNN
1 9600 4950
1 0 0 -1
$EndComp
Text Notes 9900 1600 0 50 ~ 0
Samtec
Text Notes 10050 2550 0 50 ~ 0
Hirose
Text Notes 10000 3750 0 50 ~ 0
Johanson
Text Notes 9900 5000 0 50 ~ 0
TI
$EndSCHEMATC

Loading…
Cancel
Save